From 301d29d77d445133675fee849c774442631ffcc2 Mon Sep 17 00:00:00 2001 From: Clyne Sullivan Date: Mon, 30 Oct 2023 09:59:32 -0400 Subject: [PATCH] initial commit --- LICENSE | 290 + README.md | 12 + alee-devboard.kicad_pcb | 12987 ++++++++++++++++++++++++++++++++++++++ alee-devboard.kicad_pro | 523 ++ alee-devboard.kicad_sch | 5006 +++++++++++++++ alee-devboard.kicad_sym | 330 + 6 files changed, 19148 insertions(+) create mode 100644 LICENSE create mode 100644 README.md create mode 100755 alee-devboard.kicad_pcb create mode 100755 alee-devboard.kicad_pro create mode 100755 alee-devboard.kicad_sch create mode 100755 alee-devboard.kicad_sym diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..364c873 --- /dev/null +++ b/LICENSE @@ -0,0 +1,290 @@ +CERN Open Hardware Licence Version 2 - Strongly Reciprocal + + +Preamble + +CERN has developed this licence to promote collaboration among +hardware designers and to provide a legal tool which supports the +freedom to use, study, modify, share and distribute hardware designs +and products based on those designs. Version 2 of the CERN Open +Hardware Licence comes in three variants: CERN-OHL-P (permissive); and +two reciprocal licences: CERN-OHL-W (weakly reciprocal) and this +licence, CERN-OHL-S (strongly reciprocal). + +The CERN-OHL-S is copyright CERN 2020. Anyone is welcome to use it, in +unmodified form only. + +Use of this Licence does not imply any endorsement by CERN of any +Licensor or their designs nor does it imply any involvement by CERN in +their development. + + +1 Definitions + + 1.1 'Licence' means this CERN-OHL-S. + + 1.2 'Compatible Licence' means + + a) any earlier version of the CERN Open Hardware licence, or + + b) any version of the CERN-OHL-S, or + + c) any licence which permits You to treat the Source to which + it applies as licensed under CERN-OHL-S provided that on + Conveyance of any such Source, or any associated Product You + treat the Source in question as being licensed under + CERN-OHL-S. + + 1.3 'Source' means information such as design materials or digital + code which can be applied to Make or test a Product or to + prepare a Product for use, Conveyance or sale, regardless of its + medium or how it is expressed. It may include Notices. + + 1.4 'Covered Source' means Source that is explicitly made available + under this Licence. + + 1.5 'Product' means any device, component, work or physical object, + whether in finished or intermediate form, arising from the use, + application or processing of Covered Source. + + 1.6 'Make' means to create or configure something, whether by + manufacture, assembly, compiling, loading or applying Covered + Source or another Product or otherwise. + + 1.7 'Available Component' means any part, sub-assembly, library or + code which: + + a) is licensed to You as Complete Source under a Compatible + Licence; or + + b) is available, at the time a Product or the Source containing + it is first Conveyed, to You and any other prospective + licensees + + i) as a physical part with sufficient rights and + information (including any configuration and + programming files and information about its + characteristics and interfaces) to enable it either to + be Made itself, or to be sourced and used to Make the + Product; or + ii) as part of the normal distribution of a tool used to + design or Make the Product. + + 1.8 'Complete Source' means the set of all Source necessary to Make + a Product, in the preferred form for making modifications, + including necessary installation and interfacing information + both for the Product, and for any included Available Components. + If the format is proprietary, it must also be made available in + a format (if the proprietary tool can create it) which is + viewable with a tool available to potential licensees and + licensed under a licence approved by the Free Software + Foundation or the Open Source Initiative. Complete Source need + not include the Source of any Available Component, provided that + You include in the Complete Source sufficient information to + enable a recipient to Make or source and use the Available + Component to Make the Product. + + 1.9 'Source Location' means a location where a Licensor has placed + Covered Source, and which that Licensor reasonably believes will + remain easily accessible for at least three years for anyone to + obtain a digital copy. + + 1.10 'Notice' means copyright, acknowledgement and trademark notices, + Source Location references, modification notices (subsection + 3.3(b)) and all notices that refer to this Licence and to the + disclaimer of warranties that are included in the Covered + Source. + + 1.11 'Licensee' or 'You' means any person exercising rights under + this Licence. + + 1.12 'Licensor' means a natural or legal person who creates or + modifies Covered Source. A person may be a Licensee and a + Licensor at the same time. + + 1.13 'Convey' means to communicate to the public or distribute. + + +2 Applicability + + 2.1 This Licence governs the use, copying, modification, Conveying + of Covered Source and Products, and the Making of Products. By + exercising any right granted under this Licence, You irrevocably + accept these terms and conditions. + + 2.2 This Licence is granted by the Licensor directly to You, and + shall apply worldwide and without limitation in time. + + 2.3 You shall not attempt to restrict by contract or otherwise the + rights granted under this Licence to other Licensees. + + 2.4 This Licence is not intended to restrict fair use, fair dealing, + or any other similar right. + + +3 Copying, Modifying and Conveying Covered Source + + 3.1 You may copy and Convey verbatim copies of Covered Source, in + any medium, provided You retain all Notices. + + 3.2 You may modify Covered Source, other than Notices, provided that + You irrevocably undertake to make that modified Covered Source + available from a Source Location should You Convey a Product in + circumstances where the recipient does not otherwise receive a + copy of the modified Covered Source. In each case subsection 3.3 + shall apply. + + You may only delete Notices if they are no longer applicable to + the corresponding Covered Source as modified by You and You may + add additional Notices applicable to Your modifications. + Including Covered Source in a larger work is modifying the + Covered Source, and the larger work becomes modified Covered + Source. + + 3.3 You may Convey modified Covered Source (with the effect that You + shall also become a Licensor) provided that You: + + a) retain Notices as required in subsection 3.2; + + b) add a Notice to the modified Covered Source stating that You + have modified it, with the date and brief description of how + You have modified it; + + c) add a Source Location Notice for the modified Covered Source + if You Convey in circumstances where the recipient does not + otherwise receive a copy of the modified Covered Source; and + + d) license the modified Covered Source under the terms and + conditions of this Licence (or, as set out in subsection + 8.3, a later version, if permitted by the licence of the + original Covered Source). Such modified Covered Source must + be licensed as a whole, but excluding Available Components + contained in it, which remain licensed under their own + applicable licences. + + +4 Making and Conveying Products + +You may Make Products, and/or Convey them, provided that You either +provide each recipient with a copy of the Complete Source or ensure +that each recipient is notified of the Source Location of the Complete +Source. That Complete Source is Covered Source, and You must +accordingly satisfy Your obligations set out in subsection 3.3. If +specified in a Notice, the Product must visibly and securely display +the Source Location on it or its packaging or documentation in the +manner specified in that Notice. + + +5 Research and Development + +You may Convey Covered Source, modified Covered Source or Products to +a legal entity carrying out development, testing or quality assurance +work on Your behalf provided that the work is performed on terms which +prevent the entity from both using the Source or Products for its own +internal purposes and Conveying the Source or Products or any +modifications to them to any person other than You. Any modifications +made by the entity shall be deemed to be made by You pursuant to +subsection 3.2. + + +6 DISCLAIMER AND LIABILITY + + 6.1 DISCLAIMER OF WARRANTY -- The Covered Source and any Products + are provided 'as is' and any express or implied warranties, + including, but not limited to, implied warranties of + merchantability, of satisfactory quality, non-infringement of + third party rights, and fitness for a particular purpose or use + are disclaimed in respect of any Source or Product to the + maximum extent permitted by law. The Licensor makes no + representation that any Source or Product does not or will not + infringe any patent, copyright, trade secret or other + proprietary right. The entire risk as to the use, quality, and + performance of any Source or Product shall be with You and not + the Licensor. This disclaimer of warranty is an essential part + of this Licence and a condition for the grant of any rights + granted under this Licence. + + 6.2 EXCLUSION AND LIMITATION OF LIABILITY -- The Licensor shall, to + the maximum extent permitted by law, have no liability for + direct, indirect, special, incidental, consequential, exemplary, + punitive or other damages of any character including, without + limitation, procurement of substitute goods or services, loss of + use, data or profits, or business interruption, however caused + and on any theory of contract, warranty, tort (including + negligence), product liability or otherwise, arising in any way + in relation to the Covered Source, modified Covered Source + and/or the Making or Conveyance of a Product, even if advised of + the possibility of such damages, and You shall hold the + Licensor(s) free and harmless from any liability, costs, + damages, fees and expenses, including claims by third parties, + in relation to such use. + + +7 Patents + + 7.1 Subject to the terms and conditions of this Licence, each + Licensor hereby grants to You a perpetual, worldwide, + non-exclusive, no-charge, royalty-free, irrevocable (except as + stated in subsections 7.2 and 8.4) patent licence to Make, have + Made, use, offer to sell, sell, import, and otherwise transfer + the Covered Source and Products, where such licence applies only + to those patent claims licensable by such Licensor that are + necessarily infringed by exercising rights under the Covered + Source as Conveyed by that Licensor. + + 7.2 If You institute patent litigation against any entity (including + a cross-claim or counterclaim in a lawsuit) alleging that the + Covered Source or a Product constitutes direct or contributory + patent infringement, or You seek any declaration that a patent + licensed to You under this Licence is invalid or unenforceable + then any rights granted to You under this Licence shall + terminate as of the date such process is initiated. + + +8 General + + 8.1 If any provisions of this Licence are or subsequently become + invalid or unenforceable for any reason, the remaining + provisions shall remain effective. + + 8.2 You shall not use any of the name (including acronyms and + abbreviations), image, or logo by which the Licensor or CERN is + known, except where needed to comply with section 3, or where + the use is otherwise allowed by law. Any such permitted use + shall be factual and shall not be made so as to suggest any kind + of endorsement or implication of involvement by the Licensor or + its personnel. + + 8.3 CERN may publish updated versions and variants of this Licence + which it considers to be in the spirit of this version, but may + differ in detail to address new problems or concerns. New + versions will be published with a unique version number and a + variant identifier specifying the variant. If the Licensor has + specified that a given variant applies to the Covered Source + without specifying a version, You may treat that Covered Source + as being released under any version of the CERN-OHL with that + variant. If no variant is specified, the Covered Source shall be + treated as being released under CERN-OHL-S. The Licensor may + also specify that the Covered Source is subject to a specific + version of the CERN-OHL or any later version in which case You + may apply this or any later version of CERN-OHL with the same + variant identifier published by CERN. + + 8.4 This Licence shall terminate with immediate effect if You fail + to comply with any of its terms and conditions. + + 8.5 However, if You cease all breaches of this Licence, then Your + Licence from any Licensor is reinstated unless such Licensor has + terminated this Licence by giving You, while You remain in + breach, a notice specifying the breach and requiring You to cure + it within 30 days, and You have failed to come into compliance + in all material respects by the end of the 30 day period. Should + You repeat the breach after receipt of a cure notice and + subsequent reinstatement, this Licence will terminate + immediately and permanently. Section 6 shall continue to apply + after any termination. + + 8.6 This Licence shall not be enforceable except by a Licensor + acting as such, and third party beneficiary rights are + specifically excluded. + diff --git a/README.md b/README.md new file mode 100644 index 0000000..8d5b905 --- /dev/null +++ b/README.md @@ -0,0 +1,12 @@ +# alee-devboard + +The Alee "devboard" is a microcontroller development board designed for use with [Alee Forth](https://code.bitgloo.com/bitgloo/alee-forth). It combines a compact and versatile Forth compiler/interpreter with 64kB of fast and non-volatile FRAM: the result is an on-board-IDE that retains your work even after power is removed. Simply plug the devboard into your computer via USB and you're ready to go! + +**Features:** + +* 16MHz microcontroller with 64kB FRAM and 8kB SRAM +* Plenty of peripherals: ADC, UART, SPI, I2C, timers, RTC +* Standards-compliant Forth interpreter (compact: uses <8kB of memory) +* Two buttons and two RGB LEDs for basic input/output +* Arduino-compatible form factor for easy and familiar tinkering + diff --git a/alee-devboard.kicad_pcb b/alee-devboard.kicad_pcb new file mode 100755 index 0000000..6bb024c --- /dev/null +++ b/alee-devboard.kicad_pcb @@ -0,0 +1,12987 @@ +(kicad_pcb (version 20221018) (generator pcbnew) + + (general + (thickness 1.6) + ) + + (paper "A4") + (title_block + (title "FRAM Forth Development Board") + (company "bitgloo") + (comment 1 "Released under the CERN Open Hardware License Version 2 - Strongly Reciprocal") + ) + + (layers + (0 "F.Cu" signal) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + (50 "User.1" user) + (51 "User.2" user) + (52 "User.3" user) + (53 "User.4" user) + (54 "User.5" user) + (55 "User.6" user) + (56 "User.7" user) + (57 "User.8" user) + (58 "User.9" user) + ) + + (setup + (stackup + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 1.51) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0) + (pcbplotparams + (layerselection 0x00010fc_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros false) + (usegerberextensions false) + (usegerberattributes true) + (usegerberadvancedattributes true) + (creategerberjobfile true) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 4) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 1) + (scaleselection 1) + (outputdirectory "") + ) + ) + + (net 0 "") + (net 1 "unconnected-(A2-NC-Pad1)") + (net 2 "VCC") + (net 3 "RST_SBWTDIO") + (net 4 "VBUS") + (net 5 "GND") + (net 6 "A0") + (net 7 "A1") + (net 8 "A2") + (net 9 "A3") + (net 10 "A4") + (net 11 "A5") + (net 12 "RX") + (net 13 "TX") + (net 14 "D2") + (net 15 "D3") + (net 16 "D4") + (net 17 "D5") + (net 18 "D6") + (net 19 "D7") + (net 20 "D8") + (net 21 "D9") + (net 22 "AREF") + (net 23 "Net-(U1-P2.1_XIN)") + (net 24 "Net-(U1-P2.0_XOUT)") + (net 25 "TEST_SBWTCK") + (net 26 "BUTTON1") + (net 27 "Net-(R2-Pad2)") + (net 28 "BUTTON2") + (net 29 "Net-(R3-Pad2)") + (net 30 "TXD") + (net 31 "Net-(U3-~{RTS})") + (net 32 "Net-(U3-3V3OUT)") + (net 33 "RXD") + (net 34 "Net-(U3-~{CTS})") + (net 35 "Net-(U3-CBUS2)") + (net 36 "Net-(U3-USBDP)") + (net 37 "Net-(U3-USBDM)") + (net 38 "Net-(U3-CBUS1)") + (net 39 "Net-(U3-CBUS0)") + (net 40 "Net-(U3-CBUS3)") + (net 41 "Net-(D1-RK)") + (net 42 "Net-(D1-GK)") + (net 43 "Net-(D1-BK)") + (net 44 "Net-(D2-RK)") + (net 45 "Net-(D2-GK)") + (net 46 "Net-(D2-BK)") + (net 47 "unconnected-(J2-ID-Pad4)") + (net 48 "EXTRA1") + (net 49 "EXTRA2") + (net 50 "EXTRA3") + (net 51 "EXTRA4") + (net 52 "EXTRA5") + (net 53 "EXTRA6") + (net 54 "Net-(U2-1Y)") + (net 55 "Net-(U2-2Y)") + (net 56 "Net-(U2-3Y)") + (net 57 "Net-(U2-4Y)") + (net 58 "Net-(U2-5Y)") + (net 59 "Net-(U2-6Y)") + (net 60 "LED4") + (net 61 "LED5") + (net 62 "LED6") + (net 63 "LED1") + (net 64 "unconnected-(U1-VREG-Pad31)") + (net 65 "SCK") + (net 66 "MOSI") + (net 67 "MISO") + (net 68 "LED2") + (net 69 "LED3") + (net 70 "/USB_P") + (net 71 "/USB_N") + (net 72 "SS") + (net 73 "/VUSB") + (net 74 "Net-(U1-P2.7_UCB1STE_CAP3.0)") + (net 75 "Net-(U1-P4.2_TA3CLK_CAP2.3)") + (net 76 "Net-(U1-P3.3_TA2.1_CAP0.1)") + (net 77 "Net-(U1-P3.0_TA2.2_CAP0.0)") + (net 78 "Net-(U1-DVCC)") + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tstamp 04fa50dd-5d13-4e76-9cb8-bec98bf05967) + (at 124.75 80 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL10B104KB8NNNC") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/d0f90e49-e6b9-45e7-a258-3c5d69c83f2b") + (attr smd) + (fp_text reference "C10" (at -2.5 0 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp dc224160-ca93-4dd3-8920-b1c70f68b781) + ) + (fp_text value "100N" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp e409344c-a327-4d87-b71d-f81928540494) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp a831a9e4-6a9b-4158-821e-3f3af353ef0c) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d22a793-b4bf-451b-912f-ed2cb6e31f28)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 66cf5235-11f7-4bb9-9bfc-259221342adf)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 11f1e949-82fd-4475-a5ad-bb11cc959155)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0b19c1f8-7d84-4db7-a8bb-855595408ebf)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f08cce40-0141-4a6c-a4ee-42103f5af482)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95d6f2ab-035d-4dfa-817e-8fac138e546a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 177d7715-cf05-4050-9056-f35b20816ff7)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 58b62971-2c3e-49d1-af11-f99622208a82)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a5d06d03-3a63-41cb-8928-3508553a84a0)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84f12954-ad4f-4f91-aa2d-f68839fa3cdc)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "VBUS") (pintype "passive") (tstamp 7e0b9c6c-5f08-437f-baf3-e1c2f39f4119)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp 23824fc3-cb2b-4135-b41f-db37e1845306)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tstamp 0809925a-0410-4d88-9a7b-c88d4fe69db0) + (at 128.15 87 180) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL10C470JB8NNNC") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/f90eaabc-3c87-475b-9650-982b07ec6dca") + (attr smd) + (fp_text reference "C8" (at 2.35 -0.75) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 52f7544b-3d84-45ff-b10b-d32dc2c07d77) + ) + (fp_text value "47P" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d27866b0-ceca-4237-8b94-2ca3363e5c51) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 5ff0eb3f-d8b0-4eb1-aeff-8fd7b904809c) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f4131ae6-0753-4cf1-a9fc-53160055fd9e)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8f67794-6c07-4e0d-82d5-bfc3fd64728b)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 85f434af-dcd3-44ed-aac5-37d5f9fee86c)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0c0cfa07-a11b-497c-8f36-367b69d0d1a0)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d9bbf802-fe95-47c7-ac20-7b71648a883a)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 12544c70-5196-42a1-9cd8-bf16afe899ee)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 02e9113a-e852-459b-a1c0-e3c6dced6065)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 52921f52-2e40-4600-b64b-1e4f5b0ff2f6)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da96dbee-c39a-4348-8abf-150664eb3bfa)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5524c550-4c4c-4479-8cb8-2ccc8fb36a1b)) + (pad "1" smd roundrect (at -0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/USB_N") (pintype "passive") (tstamp 97e667af-9a8d-47b5-846d-33cd9a5f209a)) + (pad "2" smd roundrect (at 0.775 0 180) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp 3063d089-7626-46eb-a20b-add4eca1a9c5)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Inductor_SMD:L_0603_1608Metric" (layer "F.Cu") + (tstamp 0c43860b-bc7d-4d26-a846-d382c745cc2e) + (at 123.25 81.6 90) + (descr "Inductor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator") + (tags "inductor") + (property "Part Number" "PE-0603PFB121ST") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Inductor") + (property "ki_keywords" "inductor choke coil reactor magnetic") + (path "/ea607995-2658-44da-bc7f-2b53afafff35") + (attr smd) + (fp_text reference "L1" (at 2.1 0 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp c27e3bae-7803-4b51-9b6e-2fd47cfbd6c2) + ) + (fp_text value "FERRITE" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 13ff8eec-6eb9-4328-b498-97118f092a07) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 7bc0e27a-31c8-4b7b-b02e-4b14d32c0acd) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4bbde87e-bb7b-42ea-9c13-141a8ed8fe5d)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ed3f7acf-9570-46e4-8e96-b7f80a088698)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e57e487b-6d85-482e-8c6d-500072abd558)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00a9d466-16d0-4417-a5c3-81c4c47c7091)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b0baeff7-eec6-4475-8756-d922d3c1b509)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 47bc7461-94af-49b6-88f9-48559b7602b8)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5d655c9b-0ffd-439e-abac-0ff7f3c0cb6b)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86fca945-5e17-4ee0-be28-ea38ea8d61b9)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8baa606b-88c7-45b8-a0aa-e16cbed6e50a)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c5c2bd9d-39e9-4a50-ae31-c5516f52dda2)) + (pad "1" smd roundrect (at -0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/VUSB") (pinfunction "1") (pintype "passive") (tstamp 97375c8e-9c72-428e-894b-80ec5de7ea31)) + (pad "2" smd roundrect (at 0.7875 0 90) (size 0.875 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "VBUS") (pinfunction "2") (pintype "passive") (tstamp 4eabf052-a1a0-42b3-bf1c-96ce61d00a06)) + (model "${KICAD6_3DMODEL_DIR}/Inductor_SMD.3dshapes/L_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp 16e6fb0a-66e1-46b8-9d87-cf344ab2da1a) + (at 160.25 121.5 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-0720RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/bdd410b6-ad2b-4eff-bd9c-c00f85f85958") + (attr smd) + (fp_text reference "R9" (at 2 0 -180) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp a7c5e8ec-2a19-4363-970a-c9344ba92b45) + ) + (fp_text value "20" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 81d09f05-5d52-4380-96fe-cbcac85a9aa5) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp f63392fe-7a70-436a-8e32-ce19bc55e48d) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 27ba0998-e280-424d-9060-f18414ffd6cc)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 78b8250c-9789-4339-a276-126d861e2313)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7778a825-020b-4c0a-bb9c-e75caae66dc2)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 61d20071-1d90-4c9b-907c-7209693de839)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 11aa2880-56c8-49fe-bb7f-bfbda2f35644)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eca853b2-20af-4f26-a130-ad05e9594ce9)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b65949c8-eb34-4b0c-88f0-93c91085c3ed)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cf3214db-c1a1-4258-ac2d-e6aded51162f)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 05687a5d-2b71-4577-8791-179bd5f8a792)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6bb9e9db-a887-42e7-9518-b3d8281e21bb)) + (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "Net-(U2-2Y)") (pintype "passive") (tstamp 77ec098d-e7a2-439d-a235-0a451d27a8f5)) + (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "Net-(D1-GK)") (pintype "passive") (tstamp 20ef4294-453c-4e41-bfa9-1acb06ee0424)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tstamp 1fb7b792-bf13-4a57-bad4-001a100b9e0f) + (at 151.25 100 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL10B102KB8NNNC") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/9c05ecd1-b462-4c13-bff2-83f23f47d295") + (attr smd) + (fp_text reference "C5" (at -2.25 0 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 53bd2f9f-a010-40ef-80ff-ad5a0eb4f828) + ) + (fp_text value "1000P" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 83b7266a-7587-46c3-9cfa-df15d288a1e8) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 5e6232ff-5f83-47d0-9159-ea9470575827) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b44dcf44-4e18-463d-9c37-745a820dacac)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e7d917a3-a9c7-4390-8266-5029117972ed)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2737b78d-01d9-4662-9276-23ec594114bd)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6d729cc9-6e69-4578-bdb1-3698fd142911)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b114b3ca-4f04-4874-9c91-23435232b934)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0fb845d5-adc1-4a8b-8d84-07ba93437f3d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp faf06b84-a907-4c6b-a71b-86678b608917)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4d83352-1acd-4ea6-9a2d-9b746b697a0b)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ecbe1e6-4cb3-4152-b709-ef12e248e956)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65df0292-c0b4-4754-849a-917d427c3e8d)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "RST_SBWTDIO") (pintype "passive") (tstamp e68d0e7a-3bb2-4bac-88d2-cc21dd7318d2)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp e165202a-29d3-4621-874d-205f1d8f5a46)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tstamp 3150318a-a596-4383-a8a1-b51150a80bb2) + (at 131.65 87) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL10C470JB8NNNC") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/69333135-9745-404f-9ead-3017c4a60629") + (attr smd) + (fp_text reference "C7" (at 2.35 0.5) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 12b6b1bd-1c84-4c7f-8e9a-b13584b99c14) + ) + (fp_text value "47P" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 470e29a2-9de6-404f-ac76-7b360ea2c118) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 61cb5505-cad9-48ab-8511-70b8a35a58b4) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a82dfcd8-70f5-48b9-86f5-33344131d1b4)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 269b6113-c55f-4632-80b6-3b5a142b6eb8)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 657878f7-2d1f-4a43-91e6-8d8c3cc9f267)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2b58f98c-f9b6-40d1-be52-de8e42917d6e)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 49305673-a54f-457e-8fa8-354335bb589a)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4900caa7-f1f4-474f-aeda-6d2e191c3491)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 572a4058-3d40-452c-ac79-edaaaa788538)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 329e0328-44f7-4ebd-baae-32f73c486b82)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f502209c-9a24-4ad2-9a79-5800a895981f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d89fd226-c2ad-4030-81e6-835afff080a8)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "/USB_P") (pintype "passive") (tstamp b3f6c973-fa86-4d86-a4c4-9837f501aff4)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp 963a0966-5797-4067-af08-3c4d700b5eca)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp 32e80a48-1065-4de4-a163-cbf6cb1e5bb2) + (at 128.15 88.5275 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-0727RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/48ac7740-9f92-4b36-a699-6084af30f63d") + (attr smd) + (fp_text reference "R4" (at 2.4 1.7775) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 61f9dc18-9868-4db6-af82-7416894bed3e) + ) + (fp_text value "27" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 18a16358-9422-46e2-b1ac-c422422e6c9e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 611695ea-6968-4bee-9ca0-2934ae70e251) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 322ed129-bb39-44f5-9323-295785cf776d)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9a551b0b-855b-4c1a-ae9e-2a18a67efb4a)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6695e53d-fc07-4d02-a041-19146256c69c)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 314ad58e-d78c-4c45-8b6e-4a73735d6a0a)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 448c09d5-a6ce-4690-9bad-add5e7e5b965)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f482c81b-55f8-4b34-951a-558f0c5c9fc3)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 07fa65e7-7237-4ff7-8153-2ae47b3fa863)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 254083ef-4664-4b82-849f-1733ca6e4ad0)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 74e4c3a2-f3ac-452c-ac74-3cd955484542)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 197cb8bc-d001-4427-8596-e5d6cae55801)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/USB_N") (pintype "passive") (tstamp e8403013-392c-42ed-b882-1868aeda28e6)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 37 "Net-(U3-USBDM)") (pintype "passive") (tstamp 80098e25-4d4c-4254-a747-70563e9a5a59)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tstamp 385a751d-c596-47e5-8e25-974a0020832c) + (at 155.75 90.5 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL10C150JB81PNC") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/f1994bc3-60ca-429b-8b09-ea6d6f77c72f") + (attr smd) + (fp_text reference "C3" (at 2.25 0 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 46548e86-4381-493a-b543-52080c2b2cdb) + ) + (fp_text value "14P" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 42caa181-60c6-48cf-a20e-2af57e564f5c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 1610561e-283e-4a50-a412-f035ad11852d) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0fa21f5-6a09-4c84-957d-81bc65593fa7)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ca76ac28-ff22-496b-841e-68926d3c8a89)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 317de19c-0aa9-4b96-a3ae-2dc0517e3a29)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f6382517-ac23-42b8-889d-5f003df4aee6)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1487dd21-ff0d-4909-aa33-0bbfab32bda3)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7e863254-a252-484a-8a8d-5613e555c1af)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 283befbc-f65b-4642-9a63-f181f351e797)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1c697dd-c2ca-4155-b37f-1646f4631508)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2c82df26-2444-4153-afd7-ed6684c85dc4)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 015e22bc-ee11-4859-8de5-b01a3d0c17b1)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "Net-(U1-P2.1_XIN)") (pintype "passive") (tstamp 6df2fc50-3299-4173-b37d-7436ed767671)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp b9554ac1-f920-42bb-8e72-576ab2266914)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tstamp 399ca38d-6109-4078-b133-f3355a8e9402) + (at 165.25 99.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/4f74652f-14a9-421c-99e9-a616b16c6a54") + (attr exclude_from_pos_files) + (fp_text reference "TP8" (at 2 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 3a406830-de7c-4269-a3af-fb21143a15eb) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7782676c-109e-41aa-b63e-c4c933fa7f2e) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 873f58e9-7c7b-4f09-9633-d95a53981e6e) + ) + (fp_circle (center 0 0) (end 0 0.7) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp 0707e9bd-3ca8-4e53-8a29-5fd9f84970fc)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 8452cbf3-9390-431b-8677-835e0ae5be2b)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 75 "Net-(U1-P4.2_TA3CLK_CAP2.3)") (pinfunction "1") (pintype "passive") (tstamp cfa2b595-d6a9-4604-acbe-805930dfdb3c)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp 3f2acc35-1f0c-4093-92e2-91471570fbfb) + (at 164.75 113.25) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-0720RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/f71b2666-bcd4-49bb-886b-639dd50f9815") + (attr smd) + (fp_text reference "R13" (at 2.5 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 604c2b86-4ade-476f-8a00-cc74ae7fd8a5) + ) + (fp_text value "20" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 16f23129-7a30-451a-bc55-b9bd23bd5ffd) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp ba6c2f43-6e39-4762-b7e3-d9e2926fec46) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 544558d6-9f0a-4aac-a87f-6946c9b3b858)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe2bc10c-eeab-4309-8215-135dc60c1bde)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99ddc094-65d3-4ce6-904a-929ad50226d6)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c5ada2a-0776-47ac-bbdd-fbbd31ee7fc3)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 794344f2-5984-44c0-9c42-6fc149742314)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 268c1c7c-9d58-4462-8d98-70133f2a4d1e)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20e1f8e6-618f-479b-bdcd-84d7e6a7f4c7)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7f38cfee-7888-40f6-b7b2-24fbb2c5f6d3)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e0e445d-c1ad-4299-9b1c-3c5df22522f4)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b974d2a3-f7ef-45b4-a536-f3b8b56818c5)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "Net-(U2-4Y)") (pintype "passive") (tstamp 898ac4a4-82e5-4f3f-803c-a1cf3132b7ba)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "Net-(D2-BK)") (pintype "passive") (tstamp fde46905-2b76-47aa-9257-547a6c7dc939)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tstamp 3fb7674c-2600-439c-9f5e-c5945d010d02) + (at 153.75 95.25) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL10B104KB8NNNC") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/f2a57c3c-a62b-4808-ad06-d5231b37c50b") + (attr smd) + (fp_text reference "C2" (at 0 -2.25) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp b45ef06a-dca4-464d-834e-b53b32ee1f60) + ) + (fp_text value "100N" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6efb8429-3501-4157-bd1f-13ed1da8a1d2) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 739f9a59-0d53-44bb-9def-5ec50068acbe) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a651a7e9-9ec5-4445-b5a5-75dda11b5e06)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e35b709b-e567-495a-8eee-30bad316dd3d)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ec92825a-7921-437d-902c-eda30afdde8f)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a65db269-eec3-4d01-ac4b-b525849ae32c)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0d0c1bd8-1b00-4d47-8f54-e725ce4804e9)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6cf81061-78b8-497d-be27-3533900bea7e)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 32803294-0beb-4f9b-ba98-c07e95bf76d5)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7d40580e-bbc6-48b7-a69c-fcb2ad9b6f98)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66130dab-10a4-41ac-b8d1-a3855787deda)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb772c60-c819-4a2b-a439-c824009b9bd5)) + (pad "1" smd roundrect (at -0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "Net-(U1-DVCC)") (pintype "passive") (tstamp 36e490f3-10f6-48f2-8300-69a37f5d53e5)) + (pad "2" smd roundrect (at 0.775 0) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp 2e614416-0310-4286-bd7a-433d176751e0)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tstamp 448ba991-adfa-4613-8e68-f10472956dce) + (at 158.25 90.5 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL10C150JB81PNC") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/d6b88400-5629-4fc9-a00a-da3b165f9c71") + (attr smd) + (fp_text reference "C4" (at 2.25 0 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp c8d946f6-d8ba-4559-9834-4c9ef5e4cf39) + ) + (fp_text value "14P" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0797d37e-f47e-4b14-91d7-4505aa6dd790) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp e59f4e7a-45cc-4e09-8bd2-89fa1c38090b) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 150efe46-af34-4ab3-8d43-a90a16a57348)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 82a48dfe-b7b5-4698-aebf-f36a913a4cdf)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a999fe68-9d2b-40de-acd2-b130795ebe0c)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 514359d2-25dc-4092-a5ef-82dcf88b474e)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f42fdbb0-dfb7-4bc3-b699-eec2db6f6282)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ee60e723-842c-4280-96a4-9b4e92d16ec7)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dd24a8f1-b1fd-4afc-8605-2cd5aaf744c5)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fde68782-c7c2-456d-9b9d-5963d19c9f16)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45251738-de36-4738-bf92-b903feed777a)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1ec04d72-1353-47bc-bcdd-906ec5cf4cfc)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "Net-(U1-P2.0_XOUT)") (pintype "passive") (tstamp e392e80c-c3f4-48e3-ad16-ae0f771a67e8)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp fa457eb4-c665-4f47-99ee-4bb4c6be4f18)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Button_Switch_SMD:SW_SPST_TL3305A" (layer "F.Cu") + (tstamp 46aaed71-8b11-48e0-af51-cd8f5704a614) + (at 118.5 96.25 90) + (descr "https://www.e-switch.com/system/asset/product_line/data_sheet/213/TL3305.pdf") + (tags "TL3305 Series Tact Switch") + (property "Part Number" "TL3305AF160QG") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Push button switch, generic, two pins") + (property "ki_keywords" "switch normally-open pushbutton push-button") + (path "/c49bda48-7c18-4575-9efc-32db06e831f8") + (attr smd) + (fp_text reference "SW1" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 7f5a9e18-d541-4552-b7d3-c25fcb49d4a5) + ) + (fp_text value "SW_Push" (at 0 3.2 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b385353f-0113-4e6f-8715-9f01f33f9699) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 6143e090-925b-4342-91a3-5992aa78c6d5) + ) + (fp_line (start -2.37 -2.37) (end -2.37 -1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3ebd528d-624b-4af4-96be-ca77cf951ec7)) + (fp_line (start -2.37 -2.37) (end 2.37 -2.37) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37ebf7e3-efb8-4b93-bd8b-5afb5daa596e)) + (fp_line (start -2.37 1.03) (end -2.37 -1.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b4e97d7d-b209-492c-8d5f-ccad75f24e2a)) + (fp_line (start -2.37 2.37) (end -2.37 1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b592844c-27c5-4e1b-afa0-0ed9e785123b)) + (fp_line (start -2.37 2.37) (end 2.37 2.37) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c6321c77-905f-4247-b99e-ecdc93121920)) + (fp_line (start 2.37 -2.37) (end 2.37 -1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8ec72f9-5a4a-4a8c-979f-7b84b291f85c)) + (fp_line (start 2.37 1.03) (end 2.37 -1.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c008d451-b15e-42b7-a570-c00500164669)) + (fp_line (start 2.37 2.37) (end 2.37 1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 11eff909-9088-4d5b-ba26-243c518c23a1)) + (fp_line (start -4.65 -2.5) (end 4.65 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 20a41e96-9055-48a1-9a1b-779bf1b0a1d4)) + (fp_line (start -4.65 2.5) (end -4.65 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eaa06679-7e66-474e-bd7e-e36f19eda287)) + (fp_line (start 4.65 -2.5) (end 4.65 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b7aa200f-d45b-4b61-ba49-43ed032c65ce)) + (fp_line (start 4.65 2.5) (end -4.65 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4dd430d-9ea7-47d4-b69a-2356c30f9245)) + (fp_line (start -3.75 -1.85) (end -3.75 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2de941ea-309e-46e6-8d5c-f8617b92ff0c)) + (fp_line (start -3.75 -1.15) (end -2.25 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 35dda46d-e6a7-4899-8177-2e133638cd7d)) + (fp_line (start -3.75 1.15) (end -3.75 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 825076b0-751f-4fcb-ab82-a00ef7124d78)) + (fp_line (start -3.75 1.85) (end -2.25 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0e23c53a-66a6-4686-837f-1d0d26d0c2b2)) + (fp_line (start -3 -1.85) (end -3 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 974ea7aa-ae6f-42c9-bc37-6969a26e4a70)) + (fp_line (start -3 1.15) (end -3 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd9125d5-4394-4b89-abc7-c683993170d9)) + (fp_line (start -2.25 -2.25) (end 2.25 -2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d70651ee-133a-4c46-811a-a435e657c222)) + (fp_line (start -2.25 -1.85) (end -3.75 -1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0c62c4a6-14a0-4cfc-b774-e3da21abaed8)) + (fp_line (start -2.25 1.15) (end -3.75 1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2890e758-34e7-414f-8285-eb756046f6ab)) + (fp_line (start -2.25 2.25) (end -2.25 -2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 774fc2b7-5c79-4379-8c9a-b9fd269bce2a)) + (fp_line (start 2.25 -2.25) (end 2.25 2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f1855b61-4691-4a71-bf10-b4bea12bd07f)) + (fp_line (start 2.25 -1.15) (end 3.75 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f101414d-9b5d-4caa-8f93-783f80306238)) + (fp_line (start 2.25 1.15) (end 3.75 1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3b75baad-b19d-4ba7-9eb6-e0bd58f657b3)) + (fp_line (start 2.25 2.25) (end -2.25 2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 41952404-8ec6-4dbc-bc14-049564e8ccb6)) + (fp_line (start 3 -1.85) (end 3 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c26f730b-d2da-4966-b095-7679c72b4575)) + (fp_line (start 3 1.15) (end 3 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 38968186-ce8f-4ddd-90e8-6d2e008e47dd)) + (fp_line (start 3.75 -1.85) (end 2.25 -1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bae5e7a8-c628-4593-ad5e-8183eca75cd1)) + (fp_line (start 3.75 -1.15) (end 3.75 -1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 223b80b1-f12f-4195-8bfe-5b11033e877a)) + (fp_line (start 3.75 1.15) (end 3.75 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2adb9424-7ea6-427a-9045-9f8b9f4971de)) + (fp_line (start 3.75 1.85) (end 2.25 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dc0595a5-0dcc-4006-afd3-77fdd6b4e46d)) + (fp_circle (center 0 0) (end 1.25 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 40a890da-6f17-4d52-a4db-801fb524e966)) + (pad "1" smd rect (at -3.6 -1.5 90) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "RST_SBWTDIO") (pinfunction "1") (pintype "passive") (tstamp 23cb5358-e30c-4ca8-8565-77469acbcbea)) + (pad "1" smd rect (at 3.6 -1.5 90) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "RST_SBWTDIO") (pinfunction "1") (pintype "passive") (tstamp 0a289088-3b4b-44fe-839b-deb6ab138e5b)) + (pad "2" smd rect (at -3.6 1.5 90) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "2") (pintype "passive") (tstamp 63e4b792-c185-48f3-9095-faff7ce43221)) + (pad "2" smd rect (at 3.6 1.5 90) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "2") (pintype "passive") (tstamp 5f0e4262-ed11-401a-990c-26b46f403495)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_SPST_TL3305A.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tstamp 484b86c4-de68-4bab-ab83-f2e05a54f7eb) + (at 125.5 89.75 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL10B104KB8NNNC") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/5158ea1b-c4db-4511-9718-2a036fcb43f5") + (attr smd) + (fp_text reference "C9" (at 0 -1.25 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 2aee35a6-3761-417f-a6e2-ae0aeba2a779) + ) + (fp_text value "100N" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 32bcee02-8f11-4bc2-834d-eb0897eaf439) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 41cc64cc-17ef-41b5-8416-4e5346c9fb36) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 89bd0d4b-1dd7-4aea-a9db-146cc2e659d5)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d04fa507-2b32-452a-a9ef-cc77e8b3c8f2)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b19273e4-2e64-4b4a-a1f3-f4121617c6c2)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dc6047a2-a067-4504-a170-a9eee58d65bf)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0d5fb31d-a9ba-48a6-bd40-ac7a5ba7cc2d)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0d4eebae-98cb-46ea-9e4d-ca4dfe0e547e)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0b69da76-ec5a-49a3-a6a7-a340004ec3d1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4f730c95-8e50-4d52-a6fd-c790a2bf1cb0)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e770f0ab-04cb-46c8-8bb9-6e519a540778)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2e89bda3-ae2a-4c8f-947b-55a9b43f2e35)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "Net-(U3-3V3OUT)") (pintype "passive") (tstamp dacb616d-44b4-466c-bfc8-57ae20aa882e)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp 6d9b4055-8454-40e0-bf79-25c1a197b377)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp 4c01c1e4-a16e-4253-bcca-5c438aa5a4d7) + (at 164.75 116.25) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-0720RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/d9d8ccd2-7355-484f-b6cf-10726d70779e") + (attr smd) + (fp_text reference "R12" (at 2.5 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 0664e922-6954-49c0-8bf9-273f93d0d039) + ) + (fp_text value "20" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp d0b2773a-73d6-4c47-94c4-a18267ba2e9b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 0add5092-8034-4473-84ce-797c395b30be) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e96066a-5030-4593-8ae8-d7172c8849c7)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c7bdbfa-cad1-4604-967a-b5a904ab3ecf)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca42015c-059e-4053-ab3e-e346112f0260)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 070a7258-2cf2-42f7-87cd-4c12e537fbba)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 22effa88-ad6f-4fe1-8ec3-fed24a78974d)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f81aa79b-fffa-4b21-be72-8be5791d616d)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b92b65a-a525-46dc-bfed-db08b2551955)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2c760ada-362e-46f7-947e-c56a4e82a8d7)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2e3f78ae-040f-4080-a129-88eeb74217a1)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 326626d4-d353-448d-ae43-3b9cd7b26abd)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "Net-(U2-6Y)") (pintype "passive") (tstamp aff82232-c92c-41c1-9f35-0e5c5d902653)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 44 "Net-(D2-RK)") (pintype "passive") (tstamp 0933e3b0-d093-42ca-a1bc-d1b84be7d1c4)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric" (layer "F.Cu") + (tstamp 573bd743-070c-4f13-89d6-c8354695b07a) + (at 126.5 79.75 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL21A475KAQNNNE") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/2a95dd2b-3838-4cd7-928f-ac0d5ad32fb1") + (attr smd) + (fp_text reference "C11" (at 2.25 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 3d6abc12-91ff-415e-9197-b860f2a5fc0e) + ) + (fp_text value "4.7U" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 74ba58dc-0828-4d7a-ab3c-0ec3070ddd60) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 49c68fe7-3e5b-4852-bba9-215cb471d912) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 428bafd7-e75e-4860-8dc7-bd46ac2d8f81)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5b4a9141-3531-447d-b3db-359e463514b1)) + (fp_line (start -1.7 -0.98) (end 1.7 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9cde84c8-2975-4113-9379-095c7c671b83)) + (fp_line (start -1.7 0.98) (end -1.7 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e49d6466-ed71-4759-91c6-938b6f49b86e)) + (fp_line (start 1.7 -0.98) (end 1.7 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3320537f-82c4-4b4a-8425-2050c89d9f8a)) + (fp_line (start 1.7 0.98) (end -1.7 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d71eff58-ac7a-4b6a-94eb-59615dbd4c47)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fadd6234-9650-4df5-91fb-ced3c116bf1d)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80354d81-bc00-4e45-93d6-4c201e02770b)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 65d1d350-0d3d-46e5-a1e4-9de415d6c813)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a065c4e6-0fc7-4928-922e-b8b0d1fde3a4)) + (pad "1" smd roundrect (at -0.95 0 90) (size 1 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "VBUS") (pintype "passive") (tstamp 80b8217a-7772-4515-b165-68f191775ba1)) + (pad "2" smd roundrect (at 0.95 0 90) (size 1 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp 87e0f0b6-8152-46b7-a6e8-b2c4966dfb5e)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tstamp 60d07cf4-1926-4107-a80b-5edc8b42bebb) + (at 127.25 96.25) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/602159dc-83bf-4af1-9762-fb62556a3219") + (attr exclude_from_pos_files) + (fp_text reference "TP6" (at 0 1.5) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 4d67bdee-5982-4c07-a9e4-095dc709a2e6) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 19ccb954-3b16-4048-8fb1-fb120aa68f83) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 28e66249-5d10-49ce-8494-d1a45f674abb) + ) + (fp_circle (center 0 0) (end 0 0.7) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp ce4bbe3d-4b8c-486b-a2ee-a7cbcf71fede)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 69379a01-cc0c-4c93-a190-e015e680b4b8)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 40 "Net-(U3-CBUS3)") (pinfunction "1") (pintype "passive") (tstamp f7fc0516-c62c-478b-9dce-0cdf4d8c6c69)) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tstamp 61269316-71fd-409a-a3ab-be4ad7fea5c8) + (at 134.75 88.75) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/0ba34ef1-731e-4d13-b34c-7a0b66ed302f") + (attr exclude_from_pos_files) + (fp_text reference "TP5" (at 2 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 36afeac5-0bfb-475e-9ec7-bd795f37166d) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ca3753a5-a96b-4e33-adc6-613c1a481d76) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3a8bc187-6d91-4780-8099-77d55874fd9d) + ) + (fp_circle (center 0 0) (end 0 0.7) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp bd6120d9-130f-488f-a258-32cde8dffcbd)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 909141f4-2eae-4fae-8175-95bf2e658a6f)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 35 "Net-(U3-CBUS2)") (pinfunction "1") (pintype "passive") (tstamp 71098e34-01b8-4622-b8a5-6cbfc6ebe41b)) + ) + + (footprint "Crystal:Crystal_SMD_3215-2Pin_3.2x1.5mm" (layer "F.Cu") + (tstamp 6b24990c-6097-4946-bc9a-a7c70ae5d3ce) + (at 157 93.25) + (descr "SMD Crystal FC-135 https://support.epson.biz/td/api/doc_check.php?dl=brief_FC-135R_en.pdf") + (tags "SMD SMT Crystal") + (property "Part Number" "SC32S-7PF20PPM") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Two pin crystal") + (property "ki_keywords" "quartz ceramic resonator oscillator") + (path "/f2975df2-e119-425e-866d-0eb0ffbe3346") + (attr smd) + (fp_text reference "Y1" (at 0 2) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 5919bc89-0ca5-4ed4-81c9-d4287adb6cb4) + ) + (fp_text value "32.768 kHz" (at 0 2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 08629cbd-3008-47a2-914d-1d0bcc4ebe8c) + ) + (fp_text user "${REFERENCE}" (at 0 -2) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6d5f3004-abe1-48d3-88a1-2119c0989907) + ) + (fp_line (start -0.675 -0.875) (end 0.675 -0.875) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 63113e6d-ef29-41c2-914b-9e9f04a0ebcd)) + (fp_line (start -0.675 0.875) (end 0.675 0.875) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2233c886-183f-4dfa-a2e0-fe35eaa6bc4e)) + (fp_line (start -2 -1.15) (end -2 1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6ce68c27-2b4e-4e48-b5c9-664ed756e8db)) + (fp_line (start -2 -1.15) (end 2 -1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58012acb-b5b9-4e88-9ffb-1d9520283ec9)) + (fp_line (start -2 1.15) (end 2 1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 806f9637-3f38-4c48-b2a8-48d68be4faaf)) + (fp_line (start 2 -1.15) (end 2 1.15) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 59f0fd9e-5277-4cb8-8dfe-7e0088c1f66f)) + (fp_line (start -1.6 -0.75) (end -1.6 0.75) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 58671fb7-9fbd-4cae-bdc0-cecad83c3135)) + (fp_line (start -1.6 -0.75) (end 1.6 -0.75) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 11ff7aac-3410-451c-a9c4-36ddfcab9685)) + (fp_line (start -1.6 0.75) (end 1.6 0.75) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb2244b1-a059-445c-acf9-3a0f01a4411f)) + (fp_line (start 1.6 -0.75) (end 1.6 0.75) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c301512c-93a5-4923-a818-5c53726d53ca)) + (pad "1" smd rect (at 1.25 0) (size 1 1.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 24 "Net-(U1-P2.0_XOUT)") (pinfunction "1") (pintype "passive") (tstamp 61638ab3-4438-459e-a88a-a1e1837cc32b)) + (pad "2" smd rect (at -1.25 0) (size 1 1.8) (layers "F.Cu" "F.Paste" "F.Mask") + (net 23 "Net-(U1-P2.1_XIN)") (pinfunction "2") (pintype "passive") (tstamp 19cd1f77-26bf-40f4-9c88-226e3198b1d3)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3215-2Pin_3.2x1.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (layer "F.Cu") + (tstamp 6bdb1361-d1df-4f1b-b878-722ae1651d9c) + (at 129.9 92.2775 180) + (descr "SSOP16: plastic shrink small outline package; 16 leads; body width 3.9 mm; lead pitch 0.635; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot519-1_po.pdf)") + (tags "SSOP 0.635") + (property "Part Number" "FT230XS-R") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Full Speed USB to Basic UART, SSOP-16") + (property "ki_keywords" "FTDI USB UART interface converter") + (path "/23251e03-b4e6-4113-b0d8-5881bdff4fe6") + (attr smd) + (fp_text reference "U3" (at 0 -3.5) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 07a8fea9-de86-42fb-8a06-c9ff85043b5b) + ) + (fp_text value "FT230XS" (at 0 3.5) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f7301298-c66d-4a5a-93a8-06397831d475) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 0080a3b3-083b-4285-bfd5-a69c160bf1cd) + ) + (fp_line (start -3.275 -2.725) (end 2 -2.725) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 790e813f-9b03-4299-81e2-1405a0d5ca10)) + (fp_line (start -2 2.675) (end 2 2.675) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3c02ce6f-e981-4b73-a76f-58d36703ee2c)) + (fp_line (start -3.45 -2.85) (end -3.45 2.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5fd753c3-72fb-4dbe-9249-f69ca396838d)) + (fp_line (start -3.45 -2.85) (end 3.45 -2.85) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0319ff24-0e8a-46fe-a654-f31dbede64a5)) + (fp_line (start -3.45 2.8) (end 3.45 2.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 11a2eada-02c9-42ec-a335-0d224b8c6bdb)) + (fp_line (start 3.45 -2.85) (end 3.45 2.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40fd3d9c-4f9e-4421-a47e-159eb0591377)) + (fp_line (start -1.95 -1.45) (end -0.95 -2.45) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f4f4bdb1-1f7a-45f1-becc-9225b5067d13)) + (fp_line (start -1.95 2.45) (end -1.95 -1.45) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2ab57899-dd08-4f94-9e5c-493fe9fa3556)) + (fp_line (start -0.95 -2.45) (end 1.95 -2.45) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4625c067-1778-42d5-9315-2f74cc392299)) + (fp_line (start 1.95 -2.45) (end 1.95 2.45) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0642051f-6cf2-4c46-a3fa-ae4a082d21b8)) + (fp_line (start 1.95 2.45) (end -1.95 2.45) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 830e53ac-ab2e-4b65-b6fb-5efeb59563e3)) + (pad "1" smd rect (at -2.6 -2.2225 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "TXD") (pinfunction "TXD") (pintype "output") (tstamp a3fc79e9-fd76-48c1-b95d-08fa93adbbc1)) + (pad "2" smd rect (at -2.6 -1.5875 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 31 "Net-(U3-~{RTS})") (pinfunction "~{RTS}") (pintype "output") (tstamp 1b2817a0-2d51-425d-b4ad-3e3a88635b16)) + (pad "3" smd rect (at -2.6 -0.9525 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "Net-(U3-3V3OUT)") (pinfunction "VCCIO") (pintype "power_in") (tstamp a59ab113-d933-41e9-b835-481b2d1fb6ad)) + (pad "4" smd rect (at -2.6 -0.3175 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 33 "RXD") (pinfunction "RXD") (pintype "input") (tstamp 7a9d3376-e422-41b1-b2e1-5872b2561e2c)) + (pad "5" smd rect (at -2.6 0.3175 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3e848e8a-1fd7-440d-9da6-57a565ba90db)) + (pad "6" smd rect (at -2.6 0.9525 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 34 "Net-(U3-~{CTS})") (pinfunction "~{CTS}") (pintype "input") (tstamp e60d7b2c-971a-4a64-9d17-10b823eb1cae)) + (pad "7" smd rect (at -2.6 1.5875 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 35 "Net-(U3-CBUS2)") (pinfunction "CBUS2") (pintype "bidirectional") (tstamp b57a4c9d-82dd-4f48-9ff4-38fbfa3a7038)) + (pad "8" smd rect (at -2.6 2.2225 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 36 "Net-(U3-USBDP)") (pinfunction "USBDP") (pintype "bidirectional") (tstamp 68b55b97-2e4f-4931-a932-233bd9f6aef2)) + (pad "9" smd rect (at 2.6 2.2225 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 37 "Net-(U3-USBDM)") (pinfunction "USBDM") (pintype "bidirectional") (tstamp 246343c6-e247-4bf8-8d31-11705cfd20ff)) + (pad "10" smd rect (at 2.6 1.5875 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "Net-(U3-3V3OUT)") (pinfunction "3V3OUT") (pintype "power_out") (tstamp 184b5eac-0c82-43c4-977a-a1160d2588f8)) + (pad "11" smd rect (at 2.6 0.9525 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 32 "Net-(U3-3V3OUT)") (pinfunction "~{RESET}") (pintype "input") (tstamp 35fbeb93-4254-4750-8fd2-31b833733496)) + (pad "12" smd rect (at 2.6 0.3175 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "VBUS") (pinfunction "VCC") (pintype "power_in") (tstamp 0ca90bf3-ec40-43b6-94f6-721bf941f283)) + (pad "13" smd rect (at 2.6 -0.3175 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 1336c80c-1304-4f17-a397-ad01ac22973d)) + (pad "14" smd rect (at 2.6 -0.9525 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 38 "Net-(U3-CBUS1)") (pinfunction "CBUS1") (pintype "bidirectional") (tstamp d38880cb-daa0-434d-8695-e94d6451ddab)) + (pad "15" smd rect (at 2.6 -1.5875 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 39 "Net-(U3-CBUS0)") (pinfunction "CBUS0") (pintype "bidirectional") (tstamp a7d90fa2-d217-4de6-a938-9f475a4cc4ad)) + (pad "16" smd rect (at 2.6 -2.2225 180) (size 1.2 0.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 40 "Net-(U3-CBUS3)") (pinfunction "CBUS3") (pintype "bidirectional") (tstamp 6509a809-37df-428e-9a7b-437aa70a1bb5)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SSOP-16_3.9x4.9mm_P0.635mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric" (layer "F.Cu") + (tstamp 6f32f11b-5db6-4ac6-9220-a8f7fae4ad8b) + (at 153.75 97) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL21A106KOQNNNE") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/ab2747a5-179b-4a83-9f1d-33e44f9a5be5") + (attr smd) + (fp_text reference "C1" (at 0 -3) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp e2f6ecbf-0582-4a63-81e7-6db2fe65e2c7) + ) + (fp_text value "10U" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c1bb52e2-6654-4db8-8e45-9a54a51ba65c) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp bce0b75b-aa81-4998-93d7-59ff3840dff0) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7d8e247-2b60-429e-a00c-1d144c275982)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 23a02d5a-1331-43e5-945f-6bc9e489035e)) + (fp_line (start -1.7 -0.98) (end 1.7 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2483e6e0-2580-46eb-a7b5-2470c579057c)) + (fp_line (start -1.7 0.98) (end -1.7 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6fa13c76-02e2-4e6c-9877-4aaae0ff06c2)) + (fp_line (start 1.7 -0.98) (end 1.7 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c49965e-ce5b-4a29-b93c-19c56d425bbb)) + (fp_line (start 1.7 0.98) (end -1.7 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c1633be-679a-4dde-966c-98e3b911026c)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b947192e-0896-45d7-b5b4-cc3a07875433)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f1d5ca0e-2956-4d94-9917-802c825553ea)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d49e7c9-358e-404f-bb15-d27e3f6aee99)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ad6ed782-1023-4efc-8d06-374024af19e1)) + (pad "1" smd roundrect (at -0.95 0) (size 1 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "Net-(U1-DVCC)") (pintype "passive") (tstamp 94b3c476-332f-4993-b190-7924573d3585)) + (pad "2" smd roundrect (at 0.95 0) (size 1 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp fae96ae7-c220-4e08-a48d-f8f79adb5169)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp 74d3df85-b888-47c8-aa17-9bf7b371ffe7) + (at 171.25 105) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-070RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/f325b0f0-79a4-4bab-9c61-279430bc2a9b") + (attr smd) + (fp_text reference "R3" (at 0 -1.25) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 186fd5b8-a28a-4488-b47f-0a9e0352f2e5) + ) + (fp_text value "0" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1435fbfc-0e28-4ce4-9320-532ddb243732) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 5ccf3b2c-25f7-4b05-a7fb-3bf46072fc36) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 49437c00-ab29-4bbd-812b-319baad435a6)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3e535da2-d070-4988-8f96-ba70de1f1a50)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e6af670a-1f0c-421b-9806-30f01b720c69)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7a0684a1-37eb-4ad2-b487-25c67780a05a)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ad0cfdc0-5f64-436b-8009-a0a9e5e5770d)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 39d77e68-e5d4-4014-9ec1-05f0419556b9)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f9d542de-c12a-495a-99bd-68c10382c8ff)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9bdf9d2-ef3c-437e-88ad-d8e5b172be07)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 504583bf-0696-44b3-842c-06eef56fbb9b)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 261d2079-b27a-48e4-9ca9-aa022407800a)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "BUTTON2") (pintype "passive") (tstamp 5cff9544-c69c-4777-bd55-2ac351cdbe59)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "Net-(R3-Pad2)") (pintype "passive") (tstamp ababc0d0-648b-4950-a1a6-634f34bdb0e4)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tstamp 8d49435f-1f38-47b6-88a6-d43f9c2226f7) + (at 134.75 93.75) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/1a5bb649-f370-4786-89c0-859baad35b6c") + (attr exclude_from_pos_files) + (fp_text reference "TP1" (at 2 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 2cf880d6-0ed1-48f4-af91-d2c5824f21ca) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ac7ac17a-05b0-4942-933e-24d940c842d4) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4e4625cc-efcc-4c49-ba1b-895534c3613e) + ) + (fp_circle (center 0 0) (end 0 0.7) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp 531554e6-8933-4e06-8c39-692b707c2026)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 1c80e00c-33b1-432f-acdc-e0b2589b90f6)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 31 "Net-(U3-~{RTS})") (pinfunction "1") (pintype "passive") (tstamp cea7876a-9aea-4cb5-946a-269211f75023)) + ) + + (footprint "Button_Switch_SMD:SW_SPST_TL3305A" (layer "F.Cu") + (tstamp 8d8b54d6-281a-4226-a261-b3ab2a779957) + (at 177 108.75 -90) + (descr "https://www.e-switch.com/system/asset/product_line/data_sheet/213/TL3305.pdf") + (tags "TL3305 Series Tact Switch") + (property "Part Number" "TL3305AF160QG") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Push button switch, generic, two pins") + (property "ki_keywords" "switch normally-open pushbutton push-button") + (path "/c8828388-d833-4532-8e20-6ec61700fdf6") + (attr smd) + (fp_text reference "SW3" (at 0 -3.2 -90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 623d2a50-382c-437c-a0e0-9b0ebf533b29) + ) + (fp_text value "SW_Push" (at 0 3.2 -90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp a2843a79-f3ba-4951-8a44-cc36cee294f2) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 1c84e90f-291f-495d-af30-1ea1b12ca329) + ) + (fp_line (start -2.37 -2.37) (end -2.37 -1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 848586c8-1a11-41ec-ac3e-c6c8650b8658)) + (fp_line (start -2.37 -2.37) (end 2.37 -2.37) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 56a2d491-ac22-4fe6-b0a4-09667f24d633)) + (fp_line (start -2.37 1.03) (end -2.37 -1.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aaca43a4-243a-4359-94b5-d0c7c61471e3)) + (fp_line (start -2.37 2.37) (end -2.37 1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f3fa3b34-4cc0-46cd-859a-1ae1367ec885)) + (fp_line (start -2.37 2.37) (end 2.37 2.37) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7d5bd04-14dc-4815-9b51-6a4b1fe865ff)) + (fp_line (start 2.37 -2.37) (end 2.37 -1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ed9e3a3d-47df-45e8-9f08-a737c61c8656)) + (fp_line (start 2.37 1.03) (end 2.37 -1.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4cd89be6-e1e7-4fbd-85f6-e1fc0c12009e)) + (fp_line (start 2.37 2.37) (end 2.37 1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c563a20f-5e38-415d-b33d-1767d46c2ecd)) + (fp_line (start -4.65 -2.5) (end 4.65 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66c50ba4-65e3-40fc-9c6f-6a59d855e2e4)) + (fp_line (start -4.65 2.5) (end -4.65 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fc1ac297-e7a1-49d8-abe7-d9d45a3a70d9)) + (fp_line (start 4.65 -2.5) (end 4.65 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ecf8ba4-0ba9-436a-a736-91f780f87f72)) + (fp_line (start 4.65 2.5) (end -4.65 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0cb4bfc0-d0b0-4601-bcd0-34c69ea3c42a)) + (fp_line (start -3.75 -1.85) (end -3.75 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 37ac5008-bd89-4e1b-8a54-ae0f465def9e)) + (fp_line (start -3.75 -1.15) (end -2.25 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a58b914f-37d5-4799-b8e9-5c8c12626c24)) + (fp_line (start -3.75 1.15) (end -3.75 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 06843c75-ffbd-4f02-adaf-2d5e867952dc)) + (fp_line (start -3.75 1.85) (end -2.25 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 21653969-1e1e-4790-9925-7f256afd4e68)) + (fp_line (start -3 -1.85) (end -3 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d4889be-aca4-4280-84ba-fad4e1f9115f)) + (fp_line (start -3 1.15) (end -3 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f49f365-e3d1-447c-8d7b-2a9599517527)) + (fp_line (start -2.25 -2.25) (end 2.25 -2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb98050f-bd15-47b9-8508-02c0b851b7d2)) + (fp_line (start -2.25 -1.85) (end -3.75 -1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 99b9c18e-81d9-47c2-bf18-d4330c8438ba)) + (fp_line (start -2.25 1.15) (end -3.75 1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7d3da52f-5305-43dd-a658-92f3eea2dcb1)) + (fp_line (start -2.25 2.25) (end -2.25 -2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 96bfc516-0787-4814-98dc-313d37e0a31f)) + (fp_line (start 2.25 -2.25) (end 2.25 2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 22a5ec92-84db-487d-bf45-fcac13b687bf)) + (fp_line (start 2.25 -1.15) (end 3.75 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cef82a4c-71db-4ffb-a0dc-5a3049c544a0)) + (fp_line (start 2.25 1.15) (end 3.75 1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dd525822-1c4f-4d2e-b2f2-ae638a02a8fe)) + (fp_line (start 2.25 2.25) (end -2.25 2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp baea1a09-6fe9-4329-b510-3b62b1bb3377)) + (fp_line (start 3 -1.85) (end 3 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp aba8290e-c990-4699-a2c7-c02d365cec42)) + (fp_line (start 3 1.15) (end 3 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71266488-a265-4d5c-b40e-d9b9ad9d9646)) + (fp_line (start 3.75 -1.85) (end 2.25 -1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fb8b3d45-e5be-47d7-995c-e45a8e5db87e)) + (fp_line (start 3.75 -1.15) (end 3.75 -1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6615acf7-da73-4b0d-81ef-5fe2f720a8a2)) + (fp_line (start 3.75 1.15) (end 3.75 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dedf89e2-a67c-4f56-8083-34187404be03)) + (fp_line (start 3.75 1.85) (end 2.25 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f96604ca-eb1e-4035-b065-31542927ef4c)) + (fp_circle (center 0 0) (end 1.25 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp ca17b49a-95b5-4a04-b5d4-655baaf6423d)) + (pad "1" smd rect (at -3.6 -1.5 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 29 "Net-(R3-Pad2)") (pinfunction "1") (pintype "passive") (tstamp 09694d17-dbff-42ad-866c-ec1472ef0b1a)) + (pad "1" smd rect (at 3.6 -1.5 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 29 "Net-(R3-Pad2)") (pinfunction "1") (pintype "passive") (tstamp d65bc020-da94-42b2-8907-878013b7d1f4)) + (pad "2" smd rect (at -3.6 1.5 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "2") (pintype "passive") (tstamp 2c4021a5-005e-45ad-9fa9-3bfaf6d7ca82)) + (pad "2" smd rect (at 3.6 1.5 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "2") (pintype "passive") (tstamp 7ea65ff6-770c-424c-92b0-d9f05684d9d7)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_SPST_TL3305A.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tstamp 8e362d4f-29c1-4617-b1f0-9d7a2b2cf510) + (at 125.25 95.25) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/299f7b4f-36d8-4be9-bcb1-c634c451c625") + (attr exclude_from_pos_files) + (fp_text reference "TP3" (at -2 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp ea4fde6e-b460-47b2-b2c2-8abd86550906) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c5c95b85-676b-4dbd-9102-b98ae5873a5d) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp de9d467d-71e4-49fc-994a-283c2a12d70d) + ) + (fp_circle (center 0 0) (end 0 0.7) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp a6bca4c0-5539-41c7-bfd4-8896ed436282)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp f0bdc194-bfb4-4d7e-9a71-4c8732b8bf4f)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 39 "Net-(U3-CBUS0)") (pinfunction "1") (pintype "passive") (tstamp f2ca9cc2-f1d9-4893-be32-aa06a490d0bb)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp 8e8db9a3-e062-4517-b946-e849f14fb455) + (at 161.75 121.5 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-07130RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/ca8b0dd2-9cf1-4a4e-b957-864a5701057c") + (attr smd) + (fp_text reference "R8" (at 0 -1.25 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 60ddd1a9-cc97-4d36-a51d-24556517c72f) + ) + (fp_text value "130" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 248b8a39-71b8-4535-87f9-99c526f78cd3) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp bb4955cc-52b9-4e4f-9775-12a82d106312) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 02afd150-b762-4182-a1a8-825f7b68cd9f)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 57d9f4a0-5b16-443c-bcc6-b6a5a86f60d8)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 406cbd75-c80f-40f3-9d19-92590fcd9450)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef6545de-802f-4745-b2c8-f24830f1c09f)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2dc053ee-0a58-4235-bbc3-a2f4c5408ee7)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 09c8b16b-9e77-4891-b582-8c6401448ff9)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8221e4c4-0980-4c0c-a646-b48be26d5420)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 103cfda4-d6a9-4138-8035-f8a185d2d653)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9105b52a-40e3-492c-887f-4fe3671704a6)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9aacbfe8-4237-4154-b8b0-4439377c4679)) + (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "Net-(U2-3Y)") (pintype "passive") (tstamp e0a1d671-b9be-4036-8f2c-c04bdd818aa9)) + (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 41 "Net-(D1-RK)") (pintype "passive") (tstamp 9b0fe847-8268-4e15-9408-b0d40acb4f1c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp 904ac241-3088-4e9e-a5ef-58a8c0294eea) + (at 164.75 114.75) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-07130RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/8776e0a8-390c-45c7-ad8f-9b64644b5dcb") + (attr smd) + (fp_text reference "R11" (at 2.5 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp c4db72a5-a64a-44b5-a414-4bdeb5c8eefc) + ) + (fp_text value "130" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0d5a738d-68a1-4e99-9b29-b1de41cb1455) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 2180aba4-629b-4fc9-9cb7-e8a13c34b2e4) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 30b13358-fb55-425f-b2a4-7b0f947c4d3c)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cc4d711c-4efa-4029-aa00-8f4ffcaa5934)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 21fd75d7-f35d-4fc5-8ce9-f6123148eee4)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58045fe3-a6de-435a-b1b5-6b3eb2b82b77)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d82d0001-c96e-4046-81c4-0b3d255a7b94)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7f074f0e-814b-4bcc-9d0f-53cd30373134)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dce149e8-2d89-49fb-aec8-dc5270c90b15)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 38aabbaa-3e34-4e24-b133-b126184a1ae5)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4f534b71-7460-424e-8ed3-d237a340bebb)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a8d76970-7b03-48a0-a4f3-66c955fe9709)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "Net-(U2-5Y)") (pintype "passive") (tstamp 7b34339d-a526-4a80-ba93-ad41a759b5a7)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 45 "Net-(D2-GK)") (pintype "passive") (tstamp 6bdca6c8-6219-45f2-82a4-727844459bfd)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric" (layer "F.Cu") + (tstamp 924148b6-a5b7-4ed1-912e-054a79e26bca) + (at 130.25 78.5) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL21B105KAFNNNE") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/d7ca8654-6528-4cda-8bea-a79fde42be93") + (attr smd) + (fp_text reference "C13" (at 2.25 0 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 4f9d0ed9-8812-4e24-ac6f-efbd48c3913d) + ) + (fp_text value "1U" (at 0 1.68) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9d87d711-c618-4f16-b399-6de7d85ca3be) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp c254d22b-2d6a-4b9e-b84d-7cded6f2dde2) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e0a73123-2859-4f97-b842-c980bdc81507)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4f503960-07d1-4cd0-8e35-32679c906d5a)) + (fp_line (start -1.7 -0.98) (end 1.7 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e89fe93f-c753-4040-80c5-8690552b6bd2)) + (fp_line (start -1.7 0.98) (end -1.7 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 519e5900-d62e-4616-a8ed-6f395c3d3a3a)) + (fp_line (start 1.7 -0.98) (end 1.7 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ab19c1bf-edf4-4968-8b57-0ef5ef126f90)) + (fp_line (start 1.7 0.98) (end -1.7 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 45ff52ab-7b34-4b77-8adb-b787371be4d2)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b45597f5-944e-433b-bdd0-fa1023a47641)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2730102c-d59b-490a-aa1f-5400ad3884fd)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e5b7e9d3-c26e-42b3-b9fc-ab093d1a12ef)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d10f72e7-73e9-4f77-b591-a44b5ee353af)) + (pad "1" smd roundrect (at -0.95 0) (size 1 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "VBUS") (pintype "passive") (tstamp 44f8f2e7-3ac8-42a3-b67c-cf7f19493ff1)) + (pad "2" smd roundrect (at 0.95 0) (size 1 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp 55ceefdd-6da9-4f25-9829-0dc552b43512)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp 95f92f0f-366c-4e28-92c7-bd83b93433a6) + (at 137.25 86.75 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-0710KL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/a7b259a7-2452-4f47-b46e-0401a09234a9") + (attr smd) + (fp_text reference "R7" (at 2.25 0 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 006dc01f-29b6-4a0a-94ac-a24da97ce3bc) + ) + (fp_text value "10K" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp ca365017-976c-4e87-b2a0-a9c64364c62c) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 6b8279b4-55f4-4fb1-9d4b-de9d02ae0771) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f32090b-8077-4ebe-8069-7176004c62ff)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 55ec6551-80e1-4f19-bd26-277a8ed550ed)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aae324bc-7d95-476d-a706-ef8b25aa6a0b)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 17ee3744-ebc4-4d42-a4ac-ba8943f87c3d)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 218472a1-567c-49b2-a2e9-fa87e3f9ddd1)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7811cd9a-4196-463c-a448-d5c54c4da70d)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 158d13c2-eb33-4a0d-ba42-f2eb651a1170)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c37e88d-0fbd-4def-97ac-96b51be54264)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 178d5223-49f2-4c1a-89c4-e10468874966)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc41aba6-946c-46e2-b9ff-649cdd50e5bc)) + (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 33 "RXD") (pintype "passive") (tstamp 57428c55-c84a-49dc-8839-7bcfcf8b7e94)) + (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "Net-(U3-3V3OUT)") (pintype "passive") (tstamp 7b53d07a-7411-4074-ac01-c65be22d6905)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp 9a08e237-e8e2-4f01-b6af-e865292159e5) + (at 158.75 121.5 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-0720RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/1e00d8aa-170c-42e1-a2b4-804957a52d79") + (attr smd) + (fp_text reference "R10" (at 0 1.25 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 59e2e2d0-ea70-4e07-a934-3be388110bc4) + ) + (fp_text value "20" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3421b8fd-2000-4d2f-b450-3ca2073e2bb0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp bc50f4c1-fb88-4bb6-8c3a-b570d9750bc0) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 301259a5-8906-49c3-9c6c-a47d3b2e0ef9)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5a4b605f-4732-4af3-b3b9-1ceb184c7f56)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f9b03f8-e9b5-44e4-9bd1-268b21a8f112)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5df120be-9eb1-4efa-a020-8e0884656fc5)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 996f176f-d9bc-497b-900f-c6f812b1e670)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb4167df-e573-4b52-9825-55ca4fbe6e0c)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 17361de4-66d7-47cf-9f61-02b7051adc05)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d9eaaeee-d40f-45ab-8d33-fdefabd09827)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16494642-e1eb-40f1-a710-3841e52099c7)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8513c435-b016-4b80-b93f-90d3dbb7b3da)) + (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "Net-(U2-1Y)") (pintype "passive") (tstamp 43b1f4d6-4ff0-4a75-8a5f-d07060fee77f)) + (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "Net-(D1-BK)") (pintype "passive") (tstamp 9fdd64a0-e1bc-458f-a0c3-a6a1066fa3d8)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0603_1608Metric" (layer "F.Cu") + (tstamp a3c2dc15-51e5-4cee-bbe0-62712b884d52) + (at 121.75 81.6 90) + (descr "Capacitor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL10B103KB8NNNC") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/92becb32-c51c-45d3-a2fa-e57fcd34615f") + (attr smd) + (fp_text reference "C6" (at 2.1 0 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 35273d4e-7782-4583-83d4-adae87c73420) + ) + (fp_text value "10N" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3457ab56-7c32-44db-aa3c-24e095ea7aeb) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 7700582c-1ae5-4c65-8339-75d55bd99f01) + ) + (fp_line (start -0.14058 -0.51) (end 0.14058 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp be5f1cc0-46fd-499b-a847-9c41a7c6bc78)) + (fp_line (start -0.14058 0.51) (end 0.14058 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 07473376-34cd-4ef1-9d2c-c72dc98c3394)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e21306b1-5bf5-4ef0-aa0d-7bf2262b4925)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e4925c4-b17e-470a-aa2e-391d93b9f339)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 782c662d-cd03-4dbb-b13d-bb3b41000c8e)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 51852464-a207-4e0a-b898-7e5267f8dcc1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4a84039b-b23a-4db6-9505-f491fe24110a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 99c1009f-ad28-4eb8-ae72-c2670091636a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f946b2d3-b1a2-448f-b15e-093bdfd2f833)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 543d47a2-84b9-4c40-b7b7-55a62a791e43)) + (pad "1" smd roundrect (at -0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/VUSB") (pintype "passive") (tstamp 2c506163-6574-40c0-9d7b-c285375619d2)) + (pad "2" smd roundrect (at 0.775 0 90) (size 0.9 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp ce702bdf-cccb-4762-8d8c-5693512a03bf)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp a3e9eb13-9912-4861-b3c3-b8ccc29dc064) + (at 138.75 86.75 90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-0710KL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/9cc25121-9595-4ead-9ac2-93d9c43d2121") + (attr smd) + (fp_text reference "R6" (at 0 1.25 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 0c45d579-fef6-4f9f-8694-87151267a5ab) + ) + (fp_text value "10K" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 832a2fca-896d-43b4-99fd-b536de86da4a) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp cf665071-0b0d-4ec7-8a7d-65cec2d1c7b5) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8322cc30-75f9-4c88-adf0-bb55750108e9)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe701c22-cbe9-4a4b-b212-8837709bbced)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e9363723-f1ee-4c06-a461-f52c5b236742)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5756794-519c-4502-b7b1-1a3d673daf2a)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f7c36678-6de9-4b09-a6c9-2b2c4711884c)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6343e48e-7cc7-46a9-aff6-6edc63fbf923)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1529a978-1291-4f2f-904e-878346253e9c)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d3a1040-7781-44fb-82cf-b9a2a9e18ad8)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 56765573-7db4-42ae-ba31-120e5c920586)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d74c7b5f-db92-4b6c-8a82-784328d0d4ee)) + (pad "1" smd roundrect (at -0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "TXD") (pintype "passive") (tstamp 96375df4-31d0-4562-a2d7-2e819da911eb)) + (pad "2" smd roundrect (at 0.825 0 90) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "Net-(U3-3V3OUT)") (pintype "passive") (tstamp cad7a574-b9b7-4b00-ae7f-473fa39ba670)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Package_TO_SOT_SMD:SOT-89-3" (layer "F.Cu") + (tstamp a9bfd95f-9dfc-4aca-af0f-c118d4b69fe1) + (at 131.25 82.55 -90) + (descr "SOT-89-3, http://ww1.microchip.com/downloads/en/DeviceDoc/3L_SOT-89_MB_C04-029C.pdf") + (tags "SOT-89-3") + (property "Part Number" "AP130-33YG-13") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "0.3A Low Dropout regulator, positive, 3.3V fixed output, SOT-89") + (property "ki_keywords" "linear regulator ldo fixed positive") + (path "/f62d102b-ec79-4668-8d10-09b72b9d5974") + (attr smd) + (fp_text reference "U4" (at -0.05 3 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 7420dc41-f29c-4045-8c57-1656da20887f) + ) + (fp_text value "AP130-33Y" (at 0 3.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 97295b70-e4a0-4c24-bddb-cebd7250cd7c) + ) + (fp_text user "${REFERENCE}" (at 0.2 0) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6a6a6ea2-fdb8-425f-b479-6adaf9ed8e9d) + ) + (fp_line (start -2.5 -2.13) (end -1.36 -2.13) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4719f681-3c9b-4255-8835-81327e4df744)) + (fp_line (start -1.36 -2.36) (end -1.36 -2.13) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 40352806-8206-4b99-81be-02940d1f50e5)) + (fp_line (start -1.36 -2.36) (end 1.36 -2.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0fb3e780-9aff-4a89-b0cb-270a6a6839e3)) + (fp_line (start -1.36 2.36) (end -1.36 2.13) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 450fec74-fbce-497f-98c7-a311bcbe635b)) + (fp_line (start 1.36 -2.36) (end 1.36 -1.05) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b91d80ba-5c09-447c-8248-af7dd074564d)) + (fp_line (start 1.36 1.05) (end 1.36 2.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2516071-6022-4ce3-b07a-7fb31301a1e8)) + (fp_line (start 1.36 2.36) (end -1.36 2.36) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c3ef8932-6118-4dfd-a917-9600e9171cfd)) + (fp_line (start -2.85 2.5) (end -2.85 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac4b5cca-0471-4f47-a76d-a31c642f465f)) + (fp_line (start -2.85 2.5) (end 2.25 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2875d3ac-4e7a-436f-aa13-804e2aef642a)) + (fp_line (start 2.25 -2.5) (end -2.85 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3a71c94-6732-411d-a6ad-4866f7d29aae)) + (fp_line (start 2.25 -2.5) (end 2.25 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cd37af79-3f8e-40ed-ad7c-400e1463f056)) + (fp_line (start -1.25 -1.25) (end -0.25 -2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89f4cde8-c9ff-4fb3-b757-6c0aec00b1f4)) + (fp_line (start -1.25 2.25) (end -1.25 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 343e3e1f-6739-4acf-a822-0f8490375a6b)) + (fp_line (start -0.25 -2.25) (end 1.25 -2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 57c00bcb-f90d-4581-b63c-0def6d56d37c)) + (fp_line (start 1.25 -2.25) (end 1.25 2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4879ae5b-5828-43fc-8b3f-b35742bcba57)) + (fp_line (start 1.25 2.25) (end -1.25 2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 21da4e52-3e09-49cb-b07d-170699f7d990)) + (pad "1" smd rect (at -1.95 -1.5 270) (size 1.3 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (pinfunction "VO") (pintype "power_out") (tstamp 0640021d-07c1-4ff5-9199-6437806d60b6)) + (pad "2" smd custom (at -1.8625 0 270) (size 1.475 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "GND") (pintype "power_in") (zone_connect 2) (thermal_bridge_angle 45) + (options (clearance outline) (anchor rect)) + (primitives + (gr_poly + (pts + (xy 3.8625 0.8665) + (xy 0.7375 0.8665) + (xy 0.7375 -0.8665) + (xy 3.8625 -0.8665) + ) + (width 0) (fill yes)) + ) (tstamp ae795f80-ffd0-4502-ab88-2b2b59e386b2)) + (pad "3" smd rect (at -1.95 1.5 270) (size 1.3 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 4 "VBUS") (pinfunction "VI") (pintype "power_in") (tstamp 71d3a1fe-47fc-4560-9002-d17f1676a906)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-89-3.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical" (layer "F.Cu") + (tstamp ab460ab9-52ed-471a-b56f-9c3e1769184c) + (at 146.75 96.45) + (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x04 2.54mm single row") + (property "Part Number" "PH1-04-UA") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, single row, 01x04, script generated") + (property "ki_keywords" "connector") + (path "/a85f640a-96b1-4a78-8aaf-e7388c935c8f") + (attr through_hole) + (fp_text reference "J1" (at 0 -2.33) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 1ec0b274-fad5-409b-9130-83501c3a8d53) + ) + (fp_text value "Conn_01x04_Pin" (at 0 9.95) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 844e1466-345f-4c98-9804-7302de760291) + ) + (fp_text user "${REFERENCE}" (at 0 3.81 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 1ad2ad02-d017-4504-9fb6-447f44d6539d) + ) + (fp_line (start -1.33 -1.33) (end 0 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bda0e87c-e0d6-4d30-a076-bee8bf1a2d62)) + (fp_line (start -1.33 0) (end -1.33 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fad5c117-fc12-4df3-9efd-719e443667d1)) + (fp_line (start -1.33 1.27) (end -1.33 8.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 87586066-1dbf-4154-b553-a79082d7cb6b)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5fd6afe6-59e2-4a14-97cc-2f8491e39f28)) + (fp_line (start -1.33 8.95) (end 1.33 8.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa694c36-a629-44fe-aece-c4b69ffc2452)) + (fp_line (start 1.33 1.27) (end 1.33 8.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bcfaaed1-b21a-45fc-816f-72baaab78ac3)) + (fp_line (start -1.8 -1.8) (end -1.8 9.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 856564b2-b416-47d8-ab2d-1bc5aa2c3197)) + (fp_line (start -1.8 9.4) (end 1.8 9.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eadc6e58-79ad-4fa1-a843-16e8e280a55f)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4cf52b56-8d02-45e1-ada4-33ffc071b8d2)) + (fp_line (start 1.8 9.4) (end 1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 57b20c94-4a7b-4650-b99c-88f65aa5e78f)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 39c2ffd2-ddcf-48c8-b144-2153d8704b73)) + (fp_line (start -1.27 8.89) (end -1.27 -0.635) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d8fcc9ae-0f37-4dac-acb9-d155fb5c79aa)) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f10d4448-8944-49ca-a294-55559b5478fc)) + (fp_line (start 1.27 -1.27) (end 1.27 8.89) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a850195b-4328-4f7f-9789-1d554412a472)) + (fp_line (start 1.27 8.89) (end -1.27 8.89) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 95f95186-1c04-4f91-9757-f29d1031d769)) + (pad "1" thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "VCC") (pinfunction "Pin_1") (pintype "passive") (tstamp af3386af-e767-4fc4-bb21-c1afd10893cd)) + (pad "2" thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 3 "RST_SBWTDIO") (pinfunction "Pin_2") (pintype "passive") (tstamp df43c07d-872c-4d57-ae2f-62d72761ef27)) + (pad "3" thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 25 "TEST_SBWTCK") (pinfunction "Pin_3") (pintype "passive") (tstamp 5218b02b-2862-40b1-8363-937da6d14af4)) + (pad "4" thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 5 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp 5d8ca211-ef6f-4d20-bad1-7a3f73fa4e41)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Vertical.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Capacitor_SMD:C_0805_2012Metric" (layer "F.Cu") + (tstamp b07ded71-8d4c-480c-a4d5-4713d93466d1) + (at 134.75 79.55 90) + (descr "Capacitor SMD 0805 (2012 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf, https://docs.google.com/spreadsheets/d/1BsfQQcO9C6DZCsRaXUlFlo91Tg2WpOkGARC1WS5S8t0/edit?usp=sharing), generated with kicad-footprint-generator") + (tags "capacitor") + (property "Part Number" "CL21A106KOQNNNE") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor") + (property "ki_keywords" "cap capacitor") + (path "/05d65cac-3c36-4c96-8468-97e481c39790") + (attr smd) + (fp_text reference "C12" (at 0.05 1.5 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 3e3b9a84-2320-4abf-afaa-b930d3c5c325) + ) + (fp_text value "10U" (at 0 1.68 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 3ff1353d-286a-41cc-b199-a7ab95745747) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp acaf6a29-87ec-4b5f-97ba-689ea515626a) + ) + (fp_line (start -0.261252 -0.735) (end 0.261252 -0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 87316515-16e1-4503-9b6f-bc1a1a4d400d)) + (fp_line (start -0.261252 0.735) (end 0.261252 0.735) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 65e7d9f8-3a16-464d-9f85-502b4e936299)) + (fp_line (start -1.7 -0.98) (end 1.7 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 54d5f307-df97-4917-8b9b-cec80d12bce7)) + (fp_line (start -1.7 0.98) (end -1.7 -0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8a3579f9-fda4-4d48-968a-f6222b374c1f)) + (fp_line (start 1.7 -0.98) (end 1.7 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 735baf25-f7f7-46df-8e59-680ee8aa740e)) + (fp_line (start 1.7 0.98) (end -1.7 0.98) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a5739b1c-9126-4be8-b748-ee6f8fc1ef4a)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cf60b5e1-9cce-4b08-825d-7e449a229ba5)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 71f9e135-5704-4c49-8418-0f366b8702b7)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ddeb9af5-c806-47b2-83e2-35826ca00111)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 422a209a-3e50-4ad5-bc7a-776578e12a2c)) + (pad "1" smd roundrect (at -0.95 0 90) (size 1 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (pintype "passive") (tstamp 6848b2c5-ee15-4f09-90aa-00e3cf338aca)) + (pad "2" smd roundrect (at 0.95 0 90) (size 1 1.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "GND") (pintype "passive") (tstamp f9287255-f749-4946-a749-1d704fb178ff)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tstamp b5943483-c39e-4ee0-b433-b0b1fecbfe52) + (at 162 109.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/b0aab9dc-86eb-4fce-818b-a207a1ee3b40") + (attr exclude_from_pos_files) + (fp_text reference "TP10" (at 2.25 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp daeaea1b-c5f9-4a43-bfa6-109507fcd355) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c9d1f9ad-3adf-4cae-b116-1bd29f79ecfc) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7e4e00e6-2b92-4295-9240-30eb087bd33b) + ) + (fp_circle (center 0 0) (end 0 0.7) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp febfa26a-c5c2-442e-92aa-267ad78a9a0a)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c2be5a71-7682-423c-97da-029ff9acd47a)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 77 "Net-(U1-P3.0_TA2.2_CAP0.0)") (pinfunction "1") (pintype "passive") (tstamp 67138f17-308a-4832-81ad-185ecdea94db)) + ) + + (footprint "Library:PT0048A_N" (layer "F.Cu") + (tstamp b9ebd192-f79f-4e47-b251-b706251ef144) + (at 159 103.375) + (tags "MSP430FR2476TPTR ") + (property "Part Number" "MSP430FR2476TPTR") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_keywords" "MSP430FR2476TPTR") + (path "/83b8c128-031a-4c85-bc60-4d8ed84815e5") + (attr smd) + (fp_text reference "U1" (at 0 0 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp de030d87-8e2f-4f4e-85f0-444908130795) + ) + (fp_text value "MSP430FR2476" (at 0 0 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 564a7d50-0fbf-4ef1-b827-5e238459d49a) + ) + (fp_text user "${REFERENCE}" (at 0 0 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 0d0af2b0-945d-47a7-bd49-bc94d602bb26) + ) + (fp_line (start -3.100001 -3.100001) (end 3.099999 -3.100001) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp cdb04505-0f7f-49ca-bf8b-66235f813f36)) + (fp_line (start -3.100001 3.099999) (end -3.100001 -3.100001) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp b5d83a37-061d-4b72-b57d-75087e75d038)) + (fp_line (start -3.100001 3.099999) (end 3.099999 3.099999) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp d1c938b7-9210-49dc-a552-7e55e7c82e80)) + (fp_line (start 3.099999 3.099999) (end 3.099999 -3.100001) + (stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 18d00265-b2f5-432c-95be-165999c5ee45)) + (fp_circle (center -4.225 -3.450001) (end -4.099999 -3.450001) + (stroke (width 0.25) (type solid)) (fill none) (layer "F.SilkS") (tstamp 0ae6dc4c-b0f0-4fed-a715-b273b132ba16)) + (fp_circle (center -2.100001 -2.100001) (end -1.800001 -2.100001) + (stroke (width 0.6) (type solid)) (fill none) (layer "F.SilkS") (tstamp caf2f77b-f0eb-4bda-9dae-708eb110c2af)) + (fp_line (start -5.2 -5.2) (end 5.2 -5.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cab3b69a-0d16-4c0c-8821-ffb6e5c91fdd)) + (fp_line (start -5.2 5.2) (end -5.2 -5.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b823510-9ee0-4740-b4ae-82700a681ab4)) + (fp_line (start -5.2 5.2) (end 5.2 5.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 807ae10f-f64e-45bc-bfc4-299208bf6bf8)) + (fp_line (start -0.500002 0) (end 0.499999 0) + (stroke (width 0.1) (type solid)) (layer "F.CrtYd") (tstamp 0a1a4aa3-2316-4eb4-9e4e-707a659fc125)) + (fp_line (start 0 0.499999) (end 0 -0.500002) + (stroke (width 0.1) (type solid)) (layer "F.CrtYd") (tstamp 9c0adcc4-9102-4fe9-ac45-adfbc3fbc897)) + (fp_line (start 5.2 5.2) (end 5.2 -5.2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 342dd9f2-45b9-4198-a71e-4bab264e84c8)) + (fp_line (start -3.500001 -3.500001) (end 3.500001 -3.500001) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e24d470a-240b-40bd-ab98-f25112366ce1)) + (fp_line (start -3.500001 3.500001) (end -3.500001 -3.500001) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03d3e2eb-0c9d-4397-99e0-122456ceff3e)) + (fp_line (start -3.500001 3.500001) (end 3.500001 3.500001) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c53a7b45-8247-4edd-b988-963e9aeb521a)) + (fp_line (start 3.500001 3.500001) (end 3.500001 -3.500001) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 575018bc-910e-4fa6-9b5a-b1bf3c6a7493)) + (fp_circle (center -2.6 -2.6) (end -2.3 -2.6) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp ca51c847-0fec-4517-b28e-537915852ec7)) + (pad "1" smd oval (at -4.225 -2.75 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 78 "Net-(U1-DVCC)") (pinfunction "DVCC") (pintype "power_in") (tstamp ca52806e-b237-4975-a877-3dc6f5d53f18)) + (pad "2" smd oval (at -4.225 -2.250001 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 3 "RST_SBWTDIO") (pinfunction "RST_NMI_SBWTDIO_N") (pintype "bidirectional") (tstamp 5bc2632c-d6fe-4fb5-a287-abae86fa0a4a)) + (pad "3" smd oval (at -4.225 -1.750002 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 25 "TEST_SBWTCK") (pinfunction "TEST_SBWTCK") (pintype "input") (tstamp 45204f4e-2f03-4f37-ad61-1494885910a7)) + (pad "4" smd oval (at -4.225 -1.25 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 22 "AREF") (pinfunction "P1.4_UCA0TXD_UCA0SIMO_TA1.2_TCK_A4_VREF+") (pintype "bidirectional") (tstamp 2285d935-5a2c-4e89-8432-5164edb9fcf8)) + (pad "5" smd oval (at -4.225 -0.750001 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 8 "A2") (pinfunction "P1.5_UCA0RXD_UCA0SOMI_TA1.1_TMS_A5") (pintype "bidirectional") (tstamp 441dc7ac-d40e-40bf-890b-b86842bd8f93)) + (pad "6" smd oval (at -4.225 -0.25 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 9 "A3") (pinfunction "P1.6_UCA0CLK_TA1CLK_TDI_TCLK_A6") (pintype "bidirectional") (tstamp cf46ee72-2b5a-4705-9b00-cfe9faf38a77)) + (pad "7" smd oval (at -4.225 0.25 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 53 "EXTRA6") (pinfunction "P1.7_UCA0STE_SMCLK_TDO_A7") (pintype "bidirectional") (tstamp 665e1677-6905-4f14-a0b4-c05cef6a9992)) + (pad "8" smd oval (at -4.225 0.749998 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 52 "EXTRA5") (pinfunction "P4.3_UCB1SOMI_UCB1SCL_TB0.5_A8") (pintype "bidirectional") (tstamp d37ad94d-fb55-4655-bf7d-dc1bd4d3bc09)) + (pad "9" smd oval (at -4.225 1.25 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 51 "EXTRA4") (pinfunction "P4.4_UCB1SIMO_UCB1SDA_TB0.6_A9") (pintype "bidirectional") (tstamp c5fa0e5e-e062-4d1f-a923-62dcf249b846)) + (pad "10" smd oval (at -4.225 1.749999 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 50 "EXTRA3") (pinfunction "P5.3_UCB1CLK_TA3.0_A10") (pintype "bidirectional") (tstamp 6e770fc2-4506-4fbe-af97-6bd84af5a76d)) + (pad "11" smd oval (at -4.225 2.250001 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 72 "SS") (pinfunction "P5.4_UCB1STE_TA3CLK_A11") (pintype "bidirectional") (tstamp 120cde59-ab7c-458a-a9df-e4944575a4d0)) + (pad "12" smd oval (at -4.225 2.75 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 6 "A0") (pinfunction "P1.0_UCB0STE_TA0CLK_A0_VEREF+") (pintype "bidirectional") (tstamp 270e4ae7-a0cf-4119-b88d-84215fec864e)) + (pad "13" smd oval (at -2.75 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 7 "A1") (pinfunction "P1.1_UCB0CLK_TA0.1_COMP0.0_A1") (pintype "bidirectional") (tstamp e28f87b3-71e1-4331-84fd-2f9801ba8e05)) + (pad "14" smd oval (at -2.250001 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 10 "A4") (pinfunction "P1.2_UCB0SIMO_UCB0SDA_TA0.2_A2_VEREF-") (pintype "bidirectional") (tstamp ff14fee5-9fa3-4376-a130-13908ce30a16)) + (pad "15" smd oval (at -1.750002 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 11 "A5") (pinfunction "P1.3_UCB0SOMI_UCB0SCL_MCLK_A3") (pintype "bidirectional") (tstamp c7d68dcb-c640-4fb8-b248-05b082c559e6)) + (pad "16" smd oval (at -1.25 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 49 "EXTRA2") (pinfunction "P2.2_SYNC_ACLK_COMP0.1") (pintype "bidirectional") (tstamp 81ef14b6-b203-490d-93e5-180dd1f2ea17)) + (pad "17" smd oval (at -0.750001 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 21 "D9") (pinfunction "P4.5_UCB0SOMI_UCB0SCL_TA3.2") (pintype "bidirectional") (tstamp c5db0430-0a85-4aa8-9928-3e9ad5a30793)) + (pad "18" smd oval (at -0.25 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 20 "D8") (pinfunction "P4.6_UCB0SIMO_UCB0SDA_TA3.1") (pintype "bidirectional") (tstamp 521b92fc-c0b1-43a5-9537-f5c74178b52f)) + (pad "19" smd oval (at 0.25 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 60 "LED4") (pinfunction "P5.5_UCB0CLK_TA2CLK") (pintype "bidirectional") (tstamp 32a63cb0-d6fd-40e6-bb80-cabe8ca96d3a)) + (pad "20" smd oval (at 0.749998 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 61 "LED5") (pinfunction "P5.6_UCB0STE_TA2.0") (pintype "bidirectional") (tstamp a25bbab2-533d-4acd-82b5-3768dfecf314)) + (pad "21" smd oval (at 1.25 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 62 "LED6") (pinfunction "P5.7_TA2.1_COMP0.2") (pintype "bidirectional") (tstamp 2f6d4739-0fd4-488b-87be-4e2e84796d8d)) + (pad "22" smd oval (at 1.749999 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 63 "LED1") (pinfunction "P6.0_TA2.2_COMP0.3") (pintype "bidirectional") (tstamp 8105b2b6-0359-44f9-a024-f7da6cb4426a)) + (pad "23" smd oval (at 2.250001 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 77 "Net-(U1-P3.0_TA2.2_CAP0.0)") (pinfunction "P3.0_TA2.2_CAP0.0") (pintype "bidirectional") (tstamp fd9a2c2b-f2c4-47ce-966a-d55f75843942)) + (pad "24" smd oval (at 2.75 4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 76 "Net-(U1-P3.3_TA2.1_CAP0.1)") (pinfunction "P3.3_TA2.1_CAP0.1") (pintype "bidirectional") (tstamp e97c46c3-a72f-478b-826b-33b9ed36d291)) + (pad "25" smd oval (at 4.225 2.75 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 26 "BUTTON1") (pinfunction "P2.3_TA2.0_CAP0.2") (pintype "bidirectional") (tstamp c85dbd02-6101-4778-8974-c5b8e737251b)) + (pad "26" smd oval (at 4.225 2.250001 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 28 "BUTTON2") (pinfunction "P3.4_TA2CLK_COMP0OUT_CAP0.3") (pintype "bidirectional") (tstamp ad2b7c9d-8724-47fe-9afc-e1ddf87619ef)) + (pad "27" smd oval (at 4.225 1.749999 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 14 "D2") (pinfunction "P3.1_UCA1STE_CAP1.0") (pintype "bidirectional") (tstamp 6e381350-24c2-4110-ab1a-f0e414334133)) + (pad "28" smd oval (at 4.225 1.25 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 15 "D3") (pinfunction "P2.4_UCA1CLK_CAP1.1") (pintype "bidirectional") (tstamp e0150e9c-2c55-42da-949e-a9ad0a4e696c)) + (pad "29" smd oval (at 4.225 0.749998 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 12 "RX") (pinfunction "P2.5_UCA1RXD_UCA1SOMI_CAP1.2") (pintype "bidirectional") (tstamp 59be11af-0e80-4a7f-8706-e3eac9a5caa4)) + (pad "30" smd oval (at 4.225 0.25 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 13 "TX") (pinfunction "P2.6_UCA1TXD_UCA1SIMO_CAP1.3") (pintype "bidirectional") (tstamp 00cc1be3-d699-4049-aafa-25c8b0988bb6)) + (pad "31" smd oval (at 4.225 -0.25 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 64 "unconnected-(U1-VREG-Pad31)") (pinfunction "VREG") (pintype "power_in+no_connect") (tstamp 2b5e5396-9413-4e50-b1e4-71a84883b8b7)) + (pad "32" smd oval (at 4.225 -0.750001 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 16 "D4") (pinfunction "P3.7_TA3.2_CAP2.0") (pintype "bidirectional") (tstamp 470476a6-d2b5-4797-8acb-310dcbf1d132)) + (pad "33" smd oval (at 4.225 -1.25 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 17 "D5") (pinfunction "P4.0_TA3.1_CAP2.1") (pintype "bidirectional") (tstamp 7f7a7f38-6ca6-46db-9036-0444c666aee7)) + (pad "34" smd oval (at 4.225 -1.750002 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 18 "D6") (pinfunction "P4.1_TA3.0_CAP2.2") (pintype "bidirectional") (tstamp 6c4a86de-770f-45dc-80f5-6b014c331c73)) + (pad "35" smd oval (at 4.225 -2.250001 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 75 "Net-(U1-P4.2_TA3CLK_CAP2.3)") (pinfunction "P4.2_TA3CLK_CAP2.3") (pintype "bidirectional") (tstamp df5cf41a-955d-4e90-b0fd-aaab8ab3c7cf)) + (pad "36" smd oval (at 4.225 -2.75 90) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 74 "Net-(U1-P2.7_UCB1STE_CAP3.0)") (pinfunction "P2.7_UCB1STE_CAP3.0") (pintype "bidirectional") (tstamp c19863ac-f68e-4205-85d5-010b628993ff)) + (pad "37" smd oval (at 2.75 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 65 "SCK") (pinfunction "P3.5_UCB1CLK_TB0TRG_CAP3.1") (pintype "bidirectional") (tstamp b45f4d2b-839c-4032-9a5f-31f02fdd43bd)) + (pad "38" smd oval (at 2.250001 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 66 "MOSI") (pinfunction "P3.2_UCB1SIMO_UCB1SDA_CAP3.2") (pintype "bidirectional") (tstamp 5850bfde-c17e-427d-ac85-32f403a244fd)) + (pad "39" smd oval (at 1.749999 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 67 "MISO") (pinfunction "P3.6_UCB1SOMI_UCB1SCL_CAP3.3") (pintype "bidirectional") (tstamp 82940bc2-2e1c-4f51-921f-843a28e597f1)) + (pad "40" smd oval (at 1.25 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 68 "LED2") (pinfunction "P6.1_TB0CLK") (pintype "bidirectional") (tstamp 0fd5aee7-e1ae-422f-a3a9-c2e0d14efe33)) + (pad "41" smd oval (at 0.749998 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 69 "LED3") (pinfunction "P6.2_TB0.0") (pintype "bidirectional") (tstamp a96e3412-ecec-4186-8798-92938cbfa207)) + (pad "42" smd oval (at 0.25 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 19 "D7") (pinfunction "P4.7_UCA0STE_TB0.1") (pintype "bidirectional") (tstamp 168adcf4-fdaf-4aa4-8c80-bf0e4f9f05c8)) + (pad "43" smd oval (at -0.25 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 48 "EXTRA1") (pinfunction "P5.0_UCA0CLK_TB0.2") (pintype "bidirectional") (tstamp 71604657-3c97-4e28-aef6-53bc5f289340)) + (pad "44" smd oval (at -0.750001 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 30 "TXD") (pinfunction "P5.1_UCA0RXD_UCA0SOMI_TB0.3") (pintype "bidirectional") (tstamp ef089e87-0403-433e-b041-8ba550c77fa6)) + (pad "45" smd oval (at -1.25 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 33 "RXD") (pinfunction "P5.2_UCA0TXD_UCA0SIMO_TB0.4") (pintype "bidirectional") (tstamp e895b476-1c85-406e-bca9-d955147db68b)) + (pad "46" smd oval (at -1.750002 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 24 "Net-(U1-P2.0_XOUT)") (pinfunction "P2.0_XOUT") (pintype "bidirectional") (tstamp 259f5041-1166-4d13-a075-8dc5a3ce458c)) + (pad "47" smd oval (at -2.250001 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 23 "Net-(U1-P2.1_XIN)") (pinfunction "P2.1_XIN") (pintype "bidirectional") (tstamp 38d2f05b-7cf1-4585-9b8e-6eb65df5b2f1)) + (pad "48" smd oval (at -2.75 -4.225) (size 0.299999 1.45) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "DVSS") (pintype "power_in") (tstamp 027f597c-1b4b-4a8e-aa43-61c9e868341e)) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tstamp c6cce544-38b4-4296-a52c-9c316e767186) + (at 134.75 90.75) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/ac8ed624-ae66-442c-8f04-346d7d307456") + (attr exclude_from_pos_files) + (fp_text reference "TP2" (at 2 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 70e28c81-f700-4a4b-b30a-5cf8e0b6ca12) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b8e0d7d2-1c6a-459b-abb6-224512d194c4) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9ef7dda8-df2b-410d-a27f-70469f3e24e7) + ) + (fp_circle (center 0 0) (end 0 0.7) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp 9626c3bb-cf2b-4aa8-9cf9-33ef7edb5bc8)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 98341aaf-0d61-4ca7-ad06-942f98d486a6)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 34 "Net-(U3-~{CTS})") (pinfunction "1") (pintype "passive") (tstamp 5121a87b-0637-4cc3-85ad-4652ba7e2f54)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp c7e95366-dbca-47ef-a83a-e3635bf35a26) + (at 152.75 100 -90) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-0747KL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/2a366a6e-bb76-47e1-b8a4-a3b6040349e6") + (attr smd) + (fp_text reference "R1" (at 2.25 0 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 7d5decbe-d837-4219-bed3-bc38e97b68d1) + ) + (fp_text value "47K" (at 0 1.43 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9ce21212-3445-4b88-80ff-f28f942c7b70) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 3554aef2-996c-4e45-b95c-cf2c67a2eac2) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 31d2a9fd-9f18-4794-8401-895e9685d33a)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 57067a06-a040-4cba-8d1a-d1691df6ff0c)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 117b8dee-423f-4225-80f2-af2f8f1f8324)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a5e83d5d-4c5e-4220-aab4-83fe16a19987)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c855eb4-3579-4123-bc55-a141a92df936)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4cc17f00-87de-4417-92dd-2a9e5f37a656)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8cb43243-1475-43a5-bf1e-ef7d608eeb85)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0393a847-41bf-4ca8-bb9a-0b36faf11367)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e6c75425-6d7c-46db-a989-7b55fefc8800)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 61925edf-a5f2-4df8-87e1-20ac333658e3)) + (pad "1" smd roundrect (at -0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (pintype "passive") (tstamp e10967ae-a761-40c8-86e3-d753352017f8)) + (pad "2" smd roundrect (at 0.825 0 270) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "RST_SBWTDIO") (pintype "passive") (tstamp 803b5265-130d-44c2-8856-3632d8a212b0)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tstamp c8db0a00-b7c2-41d7-812a-7e8628c6756f) + (at 165.25 97.5) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/690f8e36-8a46-40d5-b269-6f4e36fb354d") + (attr exclude_from_pos_files) + (fp_text reference "TP7" (at 2 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 59142c5b-2c47-4538-9318-259857403572) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 8c2f3282-c638-4ec3-9efa-301e64ae043c) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 76f0d386-4e0b-462a-a8ac-5e8214510ada) + ) + (fp_circle (center 0 0) (end 0 0.7) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp 86cc203a-8860-41da-a40e-74e36996d0e4)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 4401ea87-8297-4251-903f-81e6bb22ac73)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 74 "Net-(U1-P2.7_UCB1STE_CAP3.0)") (pinfunction "1") (pintype "passive") (tstamp 6fdad5d3-4cca-4c97-933c-dc975012871f)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp cf9b853e-5506-4520-8323-2591b368e26b) + (at 131.65 88.5275 180) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-0727RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/18c00003-dad4-417d-985c-f75c4b8b3ff4") + (attr smd) + (fp_text reference "R5" (at -2.35 2.0275) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 9b9bdf4b-47da-4afc-ac64-4224355ef329) + ) + (fp_text value "27" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 24991829-433f-4906-a260-f64967497df1) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 73e851fa-ff7a-4dd4-ba47-7b02213f7adb) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd8bd134-4415-464a-9d5e-c9f1db4203d0)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 475311f9-f486-40d4-a697-b86298cbcf78)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65a3746b-d3ed-4ccb-aa86-ba8dd9324734)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8ad7aad-a1d3-4265-b9ff-c6f741e76b0b)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 048a3fb2-ede1-41f2-82ab-35ce15761e5b)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ba682f98-e695-483d-b9f8-c142d5bced70)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4606ed95-08b7-44b1-a816-9d486d14322b)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 11fe49bc-b226-486e-ba18-e330546bf0bf)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d5c2ceed-af0c-4e5d-bb75-8428968b27c5)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0fdcace6-6b40-43e2-96f5-a8bc7f544ddf)) + (pad "1" smd roundrect (at -0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 36 "Net-(U3-USBDP)") (pintype "passive") (tstamp 87b6d6dd-6f9d-43c2-8ae5-970686913add)) + (pad "2" smd roundrect (at 0.825 0 180) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "/USB_P") (pintype "passive") (tstamp 7cebba9a-8cab-426c-9f18-d66c6d7f816c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Connector_USB:USB_Micro-B_Amphenol_10118194_Horizontal" (layer "F.Cu") + (tstamp dff9fad5-fc9d-4753-ad18-616fd59df65e) + (at 117.8275 84.1 -90) + (descr "USB Micro-B receptacle, horizontal, SMD, 10118194, https://cdn.amphenol-icc.com/media/wysiwyg/files/drawing/10118194.pdf") + (tags "USB Micro B horizontal SMD") + (property "Part Number" "10118194-0001LF") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "USB Micro Type B connector") + (property "ki_keywords" "connector USB micro") + (path "/3bbc5031-850f-456c-a6c5-e8ef15fca97b") + (attr smd) + (fp_text reference "J2" (at 4.9 0.0775 -180) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 935e9489-c623-4a28-b0f3-da0b5a6cca2c) + ) + (fp_text value "USB_B_Micro" (at 0 4.75 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7cf654d9-809c-4ca8-bda2-6708461b2b72) + ) + (fp_text user "PCB Edge" (at 0 2.75 90) (layer "Dwgs.User") + (effects (font (size 0.5 0.5) (thickness 0.08))) + (tstamp 4faad40c-cb5e-41f6-ad9f-616d3534669c) + ) + (fp_text user "${REFERENCE}" (at 0 -0.05 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 23b79520-d2da-4140-901c-cee7c02941ff) + ) + (fp_line (start -3.76 -1.66) (end -3.34 -1.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 66689a11-bd97-4e58-9b13-11500ab60c9f)) + (fp_line (start -3.76 0.32) (end -3.76 -1.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 817e9826-5f30-4fd6-b933-6b2d5b152cd5)) + (fp_line (start -3.76 2.69) (end -3.76 2.29) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03ec54c8-e4bf-4768-a020-99e00bbe96d6)) + (fp_line (start -1.76 -1.89) (end -1.76 -2.34) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74ae2322-6168-485e-aaf4-4610483addad)) + (fp_line (start -1.31 -2.34) (end -1.76 -2.34) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b7a0ebdb-60b1-451d-a217-66845968adae)) + (fp_line (start 3.76 -1.66) (end 3.34 -1.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c66dafc5-9e69-499a-a7ad-6cfdc681aa66)) + (fp_line (start 3.76 0.32) (end 3.76 -1.66) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3848265c-bfab-4406-a4c1-bbd4a5cab908)) + (fp_line (start 3.76 2.29) (end 3.76 2.69) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6df7a4b3-c6cc-4bd4-b4c8-427fb678ae41)) + (fp_line (start 3 2.75) (end -3 2.75) + (stroke (width 0.1) (type solid)) (layer "Dwgs.User") (tstamp de11705b-f940-47c6-8465-6393b56d9528)) + (fp_line (start -4.45 -2.58) (end -4.45 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7fc3deee-72d6-4f38-8b9a-82404cfa553e)) + (fp_line (start -4.45 -2.58) (end 4.45 -2.58) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e75c5d3-86dc-43ed-a7c6-90cce443ad68)) + (fp_line (start -4.45 3.95) (end 4.45 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 57279729-bc9f-4be1-ac93-49650a298cc4)) + (fp_line (start 4.45 -2.58) (end 4.45 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32299dd9-61d9-4be3-84b3-24c254a350de)) + (fp_line (start -3.65 -0.55) (end -2.65 -1.55) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b16b296f-060d-4763-adcc-cf8b594f282d)) + (fp_line (start -3.65 3.45) (end -3.65 -0.55) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16b59f22-d5e6-411b-be10-0ef46e96e09c)) + (fp_line (start -2.65 -1.55) (end 3.65 -1.55) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2088bea7-1679-4dc8-9987-371fd914e5ab)) + (fp_line (start 3.65 -1.55) (end 3.65 3.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dd28241c-6815-4ffb-9cb1-bf57636519fc)) + (fp_line (start 3.65 3.45) (end -3.65 3.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 72a2f9b4-ec70-4a3a-a791-45e37eb970db)) + (pad "" smd oval (at -3.5 1.3 270) (size 0.89 1.55) (layers "F.Paste") (tstamp 43e20162-1861-4aa7-acf6-b2b6bebf641e)) + (pad "" smd oval (at -2.5 -1.4 270) (size 1.25 0.95) (layers "F.Paste") (tstamp 49d3d823-0c7d-4d23-8077-db3f157bfdb4)) + (pad "" smd oval (at 2.5 -1.4 270) (size 1.25 0.95) (layers "F.Paste") (tstamp d75dcafa-347b-4e95-82f0-656cf76a6d2e)) + (pad "" smd oval (at 3.5 1.3 270) (size 0.89 1.55) (layers "F.Paste") (tstamp 5c668895-c1f6-45c1-a40b-c52c770787d7)) + (pad "1" smd rect (at -1.3 -1.4 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 73 "/VUSB") (pinfunction "VBUS") (pintype "power_out") (tstamp 8cf1bccb-8b11-4e83-815d-d37a58621cc6)) + (pad "2" smd rect (at -0.65 -1.4 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 71 "/USB_N") (pinfunction "D-") (pintype "bidirectional") (tstamp 74b084de-0814-45b6-9dff-576b511ca1fc)) + (pad "3" smd rect (at 0 -1.4 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 70 "/USB_P") (pinfunction "D+") (pintype "bidirectional") (tstamp 59f8b5a4-adc8-4233-abae-81d2734af555)) + (pad "4" smd rect (at 0.65 -1.4 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 47 "unconnected-(J2-ID-Pad4)") (pinfunction "ID") (pintype "passive+no_connect") (tstamp 4e8297db-5d80-4b8f-9679-7813b7db7553)) + (pad "5" smd rect (at 1.3 -1.4 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "GND") (pintype "power_out") (tstamp 517989e7-ba62-4615-97e9-68e9ada84acb)) + (pad "6" thru_hole oval (at -3.5 1.3 270) (size 0.89 1.55) (drill oval 0.5 1.15) (layers "*.Cu" "*.Mask") + (net 5 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 7f35fc0a-4a34-4b70-bbef-175632d14e6c)) + (pad "6" smd rect (at -2.9 1.3 270) (size 1.2 1.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 6e2215f1-7f46-465e-a80c-83d2e8921193)) + (pad "6" thru_hole oval (at -2.5 -1.4 270) (size 1.25 0.95) (drill oval 0.85 0.55) (layers "*.Cu" "*.Mask") + (net 5 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 8f93a6e5-b823-44cd-8e7b-c6d97d95a570)) + (pad "6" smd rect (at -1 1.3 270) (size 1.5 1.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "Shield") (pintype "passive") (tstamp a22f0e67-caef-4099-b46a-e9f82c17e93c)) + (pad "6" smd rect (at 1 1.3 270) (size 1.5 1.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "Shield") (pintype "passive") (tstamp ebc22e39-cba8-4257-964d-db2424c34a91)) + (pad "6" thru_hole oval (at 2.5 -1.4 270) (size 1.25 0.95) (drill oval 0.85 0.55) (layers "*.Cu" "*.Mask") + (net 5 "GND") (pinfunction "Shield") (pintype "passive") (tstamp f1427501-b5b8-42f4-b4c3-cb22e67bd5db)) + (pad "6" smd rect (at 2.9 1.3 270) (size 1.2 1.55) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "Shield") (pintype "passive") (tstamp d1b5bc1f-728d-43c2-b873-daf284c6fd30)) + (pad "6" thru_hole oval (at 3.5 1.3 270) (size 0.89 1.55) (drill oval 0.5 1.15) (layers "*.Cu" "*.Mask") + (net 5 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 062506bf-c9b2-4a6f-bd80-fd337c1baddb)) + (model "${KICAD6_3DMODEL_DIR}/Connector_USB.3dshapes/USB_Micro-B_Amphenol_10118194_Horizontal.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tstamp e428adb7-e047-4b34-812a-e98ea48c3c33) + (at 163.25 107.75) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/4ea5e918-7742-42b7-89c5-a16d723538ed") + (attr exclude_from_pos_files) + (fp_text reference "TP9" (at 2 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp ebe25cee-5640-4cd3-b496-6a1ab5cd5b5c) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4484b300-9d07-4fbf-967e-a0441b95a6c8) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4131e446-fc2d-4064-bffe-69a50ad37a13) + ) + (fp_circle (center 0 0) (end 0 0.7) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp 911506f9-380f-4cc4-a777-8ffc85972edb)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 6e08b26d-d086-4eaa-8d59-606ec9a375c4)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 76 "Net-(U1-P3.3_TA2.1_CAP0.1)") (pinfunction "1") (pintype "passive") (tstamp 5a33ee04-af44-4e84-ba52-5d378f774be0)) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp e5e902b6-c88c-4ce0-91ae-ded4ed9b1552) + (at 171.25 114.5) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-070RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/e9add334-e25e-4c9d-a298-f29a8b133e6e") + (attr smd) + (fp_text reference "R2" (at 0 -1.25) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp a708be9f-9f30-4ce7-a9ef-49fe31ef5068) + ) + (fp_text value "0" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c95af71a-bfa8-4e9b-9a07-c38ef6d5dbc9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp d65cc6b8-be14-454b-91c8-96153946a0e7) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4d25cc89-7fea-4ba3-a9af-f7cddae001f7)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c0a11576-b810-45ab-9d53-0277c97a196f)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ec3b365-c5f7-4a81-90d2-a9566f3377ce)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7da8dc9-d180-4627-855a-9cd3b5c5f542)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78bb978d-01d6-4ca3-b79f-fd2ffc58944a)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 16be7657-8ebc-4670-a70d-9d37ba160616)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e7de9cc2-6742-406d-a00b-2d4cffecb507)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e6b1cd91-8923-41ba-b07a-eaa53fb3d8b9)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 42731a49-0fc9-4837-8b15-5db47279aa03)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e70320b-9afe-4318-93d2-68d18dba4a90)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "BUTTON1") (pintype "passive") (tstamp c040514a-b29b-4a80-bad5-4eb2fa9a2620)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "Net-(R2-Pad2)") (pintype "passive") (tstamp 345413fb-7658-4611-af40-f6f1ec67a561)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LED_SMD:LED_RGB_Wuerth-PLCC4_3.2x2.8mm_150141M173100" (layer "F.Cu") + (tstamp e8aa0dd0-6f10-4fb2-844d-82406bac5829) + (at 171.25 108.75 -90) + (descr "3.2mm x 2.8mm PLCC4 LED, https://www.we-online.de/katalog/datasheet/150141M173100.pdf") + (tags "LED RGB Wurth PLCC-4") + (property "Part Number" "BL-HJXGXBX32M-A") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "RGB LED, red/green/blue/anode") + (property "ki_keywords" "LED RGB diode") + (path "/8a634956-cba9-42c5-a76f-cc2d237c7962") + (attr smd) + (fp_text reference "D2" (at 0 -2.4 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 9f765350-56e8-4a96-a96a-c3091f47a852) + ) + (fp_text value "LED_RGBA" (at 0 2.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp c2859d21-6831-4cb6-9906-09553b602faf) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp 0df075a9-5f60-4d54-bf7e-673dbd3a8b2f) + ) + (fp_line (start -2.5 -1.51) (end 2.3 -1.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4e224a00-17b5-4e0e-b29d-334b51be0fad)) + (fp_line (start -2.5 -0.7) (end -2.5 -1.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5ce067c7-1772-4f87-9a57-aa448bfec6d4)) + (fp_line (start -2.3 1.51) (end 2.3 1.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1cc5506-e033-4da7-8260-6b2996d087b0)) + (fp_line (start -2.55 -1.65) (end -2.55 1.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3acfea03-731e-4953-b9fa-c4a39de8ad19)) + (fp_line (start -2.55 1.65) (end 2.55 1.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8f3b7e26-7988-4fe3-ac83-ff08a8c510fd)) + (fp_line (start 2.55 -1.65) (end -2.55 -1.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 683d73d2-7361-4a8f-a23b-72ea577c9bb2)) + (fp_line (start 2.55 1.65) (end 2.55 -1.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ca10d41b-0a7a-4d51-9436-8591d00eacdc)) + (fp_line (start -1.6 -1.4) (end -1.6 1.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp abc4a2d0-1992-4964-a54a-8ee8c7d10702)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0e589b54-034c-443f-be3d-c27209647c2d)) + (fp_line (start -0.6 -1.4) (end -1.6 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 31570ddc-4a36-4fe6-bed0-f89fac79600f)) + (fp_line (start 1.6 -1.4) (end -1.6 -1.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7edd2b5a-da69-4f58-8235-dd79e5dec668)) + (fp_line (start 1.6 1.4) (end 1.6 -1.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4df270ce-d8f7-4891-9617-39fa727a1801)) + (fp_circle (center 0 0) (end 1.12 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 85384b6c-52d7-4cee-9521-8160405e8549)) + (pad "1" smd rect (at 1.55 -0.7 270) (size 1.5 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 44 "Net-(D2-RK)") (pinfunction "RK") (pintype "passive") (tstamp 4dec1402-fc91-4621-8cef-183ea336359c)) + (pad "2" smd rect (at 1.55 0.7 270) (size 1.5 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 45 "Net-(D2-GK)") (pinfunction "GK") (pintype "passive") (tstamp 3c07a53f-8814-4c74-b80f-1f29a9417816)) + (pad "3" smd rect (at -1.55 -0.7 270) (size 1.5 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 46 "Net-(D2-BK)") (pinfunction "BK") (pintype "passive") (tstamp 98d52907-451d-4f54-982a-7e847b6a7a12)) + (pad "4" smd rect (at -1.55 0.7 270) (size 1.5 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (pinfunction "A") (pintype "passive") (tstamp c7a606ef-6b74-462b-8030-25b9f743c171)) + (model "${KICAD6_3DMODEL_DIR}/LED_SMD.3dshapes/LED_RGB_Wuerth-PLCC4_3.2x2.8mm_150141M173100.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Resistor_SMD:R_0603_1608Metric" (layer "F.Cu") + (tstamp ef99722f-bb84-46a6-951d-2cb119b9354e) + (at 150.25 97) + (descr "Resistor SMD 0603 (1608 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator") + (tags "resistor") + (property "Part Number" "RC0603JR-070RL") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, US symbol") + (property "ki_keywords" "R res resistor") + (path "/a472c088-7af5-4c39-ae08-37486201b626") + (attr smd) + (fp_text reference "R14" (at 0 -1.25) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 88cf2fd2-91e5-470d-ad1d-7213c991d00a) + ) + (fp_text value "0" (at 0 1.43) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 92c985e8-117f-41c1-80aa-ba47f26e9f74) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.06))) + (tstamp 47cb8eae-2b7f-4cbb-9c59-7e439cab5584) + ) + (fp_line (start -0.237258 -0.5225) (end 0.237258 -0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 47050240-079d-4eca-8faf-30c7c399ab78)) + (fp_line (start -0.237258 0.5225) (end 0.237258 0.5225) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1cce3f70-2abc-47ad-aaa9-20259b784a83)) + (fp_line (start -1.48 -0.73) (end 1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3ce89a17-08e2-4bd2-9f86-71d42c0aa71a)) + (fp_line (start -1.48 0.73) (end -1.48 -0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c05e1ca-3a9b-4df7-8b1a-5df6c4bf4a43)) + (fp_line (start 1.48 -0.73) (end 1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a4a37eb0-fd94-470b-b0a9-db89661339aa)) + (fp_line (start 1.48 0.73) (end -1.48 0.73) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 386edd23-e88f-4146-bc9e-925e66f278e6)) + (fp_line (start -0.8 -0.4125) (end 0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7a5a7160-0c3c-45cd-b9b7-dbc0d6fba11c)) + (fp_line (start -0.8 0.4125) (end -0.8 -0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc54b990-9bbc-426c-a735-ef196a1c5435)) + (fp_line (start 0.8 -0.4125) (end 0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 908ba601-12fe-4809-82a4-47bd79713ae9)) + (fp_line (start 0.8 0.4125) (end -0.8 0.4125) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 809e1ed8-c1e7-4c9c-a1f1-e3cf2340bed2)) + (pad "1" smd roundrect (at -0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "VCC") (pintype "passive") (tstamp c6c1b2b6-7b06-4a87-94df-63d4b0f08720)) + (pad "2" smd roundrect (at 0.825 0) (size 0.8 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "Net-(U1-DVCC)") (pintype "passive") (tstamp a320b783-0842-4d12-8bc8-40ee92bb8513)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "TestPoint:TestPoint_Pad_D1.0mm" (layer "F.Cu") + (tstamp efe5242f-af9f-4d47-9ee6-f18dff77ee62) + (at 125.25 93.25) + (descr "SMD pad as test Point, diameter 1.0mm") + (tags "test point SMD pad") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "test point") + (property "ki_keywords" "test point tp") + (path "/32d5c67e-d0a8-43e1-aeb0-852271dee781") + (attr exclude_from_pos_files) + (fp_text reference "TP4" (at -2 0) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 3d1d20fc-49c2-4fd0-a244-721c369e02ff) + ) + (fp_text value "TestPoint" (at 0 1.55) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4a0bc349-3677-4296-af9a-82e689b2d08c) + ) + (fp_text user "${REFERENCE}" (at 0 -1.45) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 56938e74-dbe1-414e-9291-3a5e12937062) + ) + (fp_circle (center 0 0) (end 0 0.7) + (stroke (width 0.12) (type solid)) (fill none) (layer "F.SilkS") (tstamp afb0fedd-2e3a-42e6-8db8-a2cbb524faa3)) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.05) (type solid)) (fill none) (layer "F.CrtYd") (tstamp 5a333fc0-f95d-41ef-8d01-74609e7cadce)) + (pad "1" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (net 38 "Net-(U3-CBUS1)") (pinfunction "1") (pintype "passive") (tstamp 53f0e01f-50c5-4019-a8b4-d8873bf5eb4c)) + ) + + (footprint "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Horizontal" (layer "F.Cu") + (tstamp f3eff86e-0be4-4db1-9b70-27bfbecffe91) + (at 125.625 115.6 180) + (descr "Through hole angled pin header, 1x06, 2.54mm pitch, 6mm pin length, single row") + (tags "Through hole angled pin header THT 1x06 2.54mm single row") + (property "Part Number" "PH1RB-06-UA") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/a9444833-de83-41b3-964e-3734a1b76177") + (attr through_hole) + (fp_text reference "J3" (at 0.125 -2.15 180) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 7e861fe5-8b15-45ee-a7ba-77bd9c44156b) + ) + (fp_text value "Conn_01x06" (at 4.385 14.97 180) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b1d4bb4f-72d7-4e33-b3ff-71916025a0b7) + ) + (fp_text user "${REFERENCE}" (at 2.77 6.35 270) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 59fe1c05-d32b-4e08-a1b4-c4313ccaee2c) + ) + (fp_line (start -1.27 -1.27) (end 0 -1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37d5ef76-1bda-4537-94f5-0643188ba5ff)) + (fp_line (start -1.27 0) (end -1.27 -1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eac349c1-2c57-47a4-b417-35127c4a8aea)) + (fp_line (start 1.042929 2.16) (end 1.44 2.16) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6025185b-fad8-4827-aa66-eb23aa9d4251)) + (fp_line (start 1.042929 2.92) (end 1.44 2.92) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 589d6241-5da1-45d9-8253-d2a177f9415f)) + (fp_line (start 1.042929 4.7) (end 1.44 4.7) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 489b8019-c4bb-4aaf-8e77-7d514b210c9d)) + (fp_line (start 1.042929 5.46) (end 1.44 5.46) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7162b8f4-98c2-4c53-ad4e-06078fe17fb4)) + (fp_line (start 1.042929 7.24) (end 1.44 7.24) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a093b35c-af5b-40da-905e-af223b8b7531)) + (fp_line (start 1.042929 8) (end 1.44 8) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ea1fc8d2-3dd1-4725-83c1-d8758c250844)) + (fp_line (start 1.042929 9.78) (end 1.44 9.78) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f67e96b-dd18-4068-b981-8818a6de49c7)) + (fp_line (start 1.042929 10.54) (end 1.44 10.54) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e06d3fd5-a67d-4e52-b938-288eb55f2a67)) + (fp_line (start 1.042929 12.32) (end 1.44 12.32) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c9e23b80-c441-444b-bc91-b37b10f95c05)) + (fp_line (start 1.042929 13.08) (end 1.44 13.08) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 869c2a99-9d65-4554-bccf-f2e970d89314)) + (fp_line (start 1.11 -0.38) (end 1.44 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d09e898b-fa4a-4896-9b0d-aa7604cf4e35)) + (fp_line (start 1.11 0.38) (end 1.44 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a0f1b6f7-4907-4705-a31d-86eeb39bc22c)) + (fp_line (start 1.44 -1.33) (end 1.44 14.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1b5a196-11d2-475c-96a2-91489febf283)) + (fp_line (start 1.44 1.27) (end 4.1 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 951b1101-8252-4cdb-bfe7-9d9adc5fbcd9)) + (fp_line (start 1.44 3.81) (end 4.1 3.81) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2c217990-20ba-4048-b166-6d6e6a5ea130)) + (fp_line (start 1.44 6.35) (end 4.1 6.35) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6f7f2735-b3f5-4fd4-ad8a-3a49930e22d8)) + (fp_line (start 1.44 8.89) (end 4.1 8.89) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a0b4ed8-7e44-4baf-860c-bf4afcae2fe3)) + (fp_line (start 1.44 11.43) (end 4.1 11.43) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3095968e-88ef-44c2-ae35-53f232081e69)) + (fp_line (start 1.44 14.03) (end 4.1 14.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f0dc9824-e267-4ffa-a7f2-158785079231)) + (fp_line (start 4.1 -1.33) (end 1.44 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e4a97a8e-0a73-49c8-9cfd-2c7e359cdac3)) + (fp_line (start 4.1 -0.38) (end 10.1 -0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dcf96b64-a66c-496d-acee-d518963d9009)) + (fp_line (start 4.1 -0.32) (end 10.1 -0.32) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e5b65193-2738-4b51-ba52-8a83dd2d92f2)) + (fp_line (start 4.1 -0.2) (end 10.1 -0.2) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4eccd31f-3a89-46dd-84f2-bc3860bc0243)) + (fp_line (start 4.1 -0.08) (end 10.1 -0.08) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 196ad624-2aa4-4e27-83f6-98bd238d2de9)) + (fp_line (start 4.1 0.04) (end 10.1 0.04) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d6535c29-18a6-4741-a7a8-101a11ef17df)) + (fp_line (start 4.1 0.16) (end 10.1 0.16) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f9d5461-967a-4184-84b0-ae219a6492ba)) + (fp_line (start 4.1 0.28) (end 10.1 0.28) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 19608f88-d79e-4741-a1f5-bd5efcc134c5)) + (fp_line (start 4.1 2.16) (end 10.1 2.16) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2981aeb5-4f5a-4f7b-836e-0a6767fef70e)) + (fp_line (start 4.1 4.7) (end 10.1 4.7) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e98e800-fdae-4dbb-9c69-e27d2cc7e418)) + (fp_line (start 4.1 7.24) (end 10.1 7.24) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1aaf76b1-248c-44a2-8f4c-62f4ff312818)) + (fp_line (start 4.1 9.78) (end 10.1 9.78) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bf88f0af-59e4-4542-8cc1-3cefd8df3e84)) + (fp_line (start 4.1 12.32) (end 10.1 12.32) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 757f7cc4-5eee-404c-a4f0-c63c385e6604)) + (fp_line (start 4.1 14.03) (end 4.1 -1.33) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5415d4c2-28c3-4c23-81f9-eff4c2ace4bc)) + (fp_line (start 10.1 -0.38) (end 10.1 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7fe2f5a0-26ca-49b2-8bef-c5c87e931c8b)) + (fp_line (start 10.1 0.38) (end 4.1 0.38) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c0404b4f-f58b-48ba-b78a-367826921481)) + (fp_line (start 10.1 2.16) (end 10.1 2.92) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cdad5d9d-fa11-4bb2-9dee-ed99846b4d76)) + (fp_line (start 10.1 2.92) (end 4.1 2.92) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5e024ede-d964-4fa1-b41c-f213d0fbb504)) + (fp_line (start 10.1 4.7) (end 10.1 5.46) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72eec079-b673-4cb7-8783-109ed4dffdbe)) + (fp_line (start 10.1 5.46) (end 4.1 5.46) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8d70ca3c-6ce7-4cae-becd-c10128be9ae6)) + (fp_line (start 10.1 7.24) (end 10.1 8) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9263df74-bfb0-4ecb-9707-924aac449b59)) + (fp_line (start 10.1 8) (end 4.1 8) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2e649ad9-3af4-4ab7-8976-d526e1e86654)) + (fp_line (start 10.1 9.78) (end 10.1 10.54) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3c154311-52c1-4a3b-9d9d-7f5664ca8f43)) + (fp_line (start 10.1 10.54) (end 4.1 10.54) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 51c0dcc6-bf79-40a9-a5c9-378bd6528c6d)) + (fp_line (start 10.1 12.32) (end 10.1 13.08) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2fab3398-8fd5-4b82-9d07-1ea7628c3aaf)) + (fp_line (start 10.1 13.08) (end 4.1 13.08) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9a2ab633-b725-4e55-9c31-baacf4236e99)) + (fp_line (start -1.8 -1.8) (end -1.8 14.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 11e459b3-0ad6-4e91-938b-31fcfcb7087e)) + (fp_line (start -1.8 14.5) (end 10.55 14.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 581eeacf-2201-461c-a09d-14006d20e68f)) + (fp_line (start 10.55 -1.8) (end -1.8 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2935c32-8b7e-46cf-9166-44c9b9076860)) + (fp_line (start 10.55 14.5) (end 10.55 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ae422ce3-f39d-4b3c-91cc-70263760603b)) + (fp_line (start -0.32 -0.32) (end -0.32 0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e6173292-43d6-403d-9b20-56db48f8caaf)) + (fp_line (start -0.32 -0.32) (end 1.5 -0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cce6387e-4f76-4e76-b78f-e0418cf29969)) + (fp_line (start -0.32 0.32) (end 1.5 0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef247b8e-c27b-48c0-a80c-8de6a4db3228)) + (fp_line (start -0.32 2.22) (end -0.32 2.86) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3841759e-7b49-490a-89bb-1572243f0614)) + (fp_line (start -0.32 2.22) (end 1.5 2.22) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8ae286ba-9d71-416b-85ec-ec24ce009b1a)) + (fp_line (start -0.32 2.86) (end 1.5 2.86) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f2854c07-d56f-4ab7-9433-18efaf87bce4)) + (fp_line (start -0.32 4.76) (end -0.32 5.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1845b7ad-f0a1-456f-bc16-87fab48cf53a)) + (fp_line (start -0.32 4.76) (end 1.5 4.76) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dbb835b3-ea3e-4150-988b-9eddfc787362)) + (fp_line (start -0.32 5.4) (end 1.5 5.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77ba892a-e10c-44f7-9778-b43bc3294657)) + (fp_line (start -0.32 7.3) (end -0.32 7.94) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5877ee9f-8287-4fab-ba77-ae6428b54f1c)) + (fp_line (start -0.32 7.3) (end 1.5 7.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b87bf6c7-164f-4908-b4f4-3f070a8c65a6)) + (fp_line (start -0.32 7.94) (end 1.5 7.94) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b80166a7-e44f-40af-ad25-47430cc41f60)) + (fp_line (start -0.32 9.84) (end -0.32 10.48) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c99b8ccc-ed83-407e-98df-782dda8cf963)) + (fp_line (start -0.32 9.84) (end 1.5 9.84) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4857c29-e773-4995-9894-683a06188f67)) + (fp_line (start -0.32 10.48) (end 1.5 10.48) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3626b138-485d-4bc7-88a1-d89c8607c46d)) + (fp_line (start -0.32 12.38) (end -0.32 13.02) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 076c77a3-a4cd-411d-8225-46006eb6a212)) + (fp_line (start -0.32 12.38) (end 1.5 12.38) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 68761ff2-df18-4b29-9e0a-88e896987256)) + (fp_line (start -0.32 13.02) (end 1.5 13.02) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 87f60324-8e97-404a-b978-c7068af5b584)) + (fp_line (start 1.5 -0.635) (end 2.135 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dc061a55-b48e-4273-b4ee-a5d3ed408077)) + (fp_line (start 1.5 13.97) (end 1.5 -0.635) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8b985814-f3d3-4182-b216-25d96c67fcac)) + (fp_line (start 2.135 -1.27) (end 4.04 -1.27) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 127a4c83-3a08-4d04-bee5-109077565287)) + (fp_line (start 4.04 -1.27) (end 4.04 13.97) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 56e4775f-5048-472f-b2c7-9785523eb139)) + (fp_line (start 4.04 -0.32) (end 10.04 -0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20c9e697-4699-410b-a6a1-8ae8b58e2b09)) + (fp_line (start 4.04 0.32) (end 10.04 0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c5bea3d9-46b0-4f41-9bba-877be82ca164)) + (fp_line (start 4.04 2.22) (end 10.04 2.22) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a71302e9-3603-4927-a4c4-698b091d5b1d)) + (fp_line (start 4.04 2.86) (end 10.04 2.86) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 00a7d403-fb15-4c2d-9165-20b21d7cbf4a)) + (fp_line (start 4.04 4.76) (end 10.04 4.76) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 22511196-dc82-4bcc-84ac-7c77e3620697)) + (fp_line (start 4.04 5.4) (end 10.04 5.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ba2ebc08-13eb-48b9-843a-642ca8715386)) + (fp_line (start 4.04 7.3) (end 10.04 7.3) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89753283-7038-41ae-b1e0-10e3c6e8cf7b)) + (fp_line (start 4.04 7.94) (end 10.04 7.94) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 064fd439-9a21-4152-81e7-057e4ece65da)) + (fp_line (start 4.04 9.84) (end 10.04 9.84) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ad103144-3c23-4db0-83c4-acad7a694c1d)) + (fp_line (start 4.04 10.48) (end 10.04 10.48) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ff148197-b47d-4a6c-be16-cb36327d1fa4)) + (fp_line (start 4.04 12.38) (end 10.04 12.38) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cba76334-3d8a-4cb1-a071-657d022a17f1)) + (fp_line (start 4.04 13.02) (end 10.04 13.02) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7d38e532-d2e3-43cc-9720-70728eb08321)) + (fp_line (start 4.04 13.97) (end 1.5 13.97) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 907385b2-ec32-41aa-828c-3c526622a0cc)) + (fp_line (start 10.04 -0.32) (end 10.04 0.32) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd601862-4473-4817-8d27-a0333d2ffea3)) + (fp_line (start 10.04 2.22) (end 10.04 2.86) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 72fc0475-7b73-4aff-8dae-a467e473005f)) + (fp_line (start 10.04 4.76) (end 10.04 5.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c70d12c1-f02b-4517-ba3b-0158ee326847)) + (fp_line (start 10.04 7.3) (end 10.04 7.94) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0dad0638-4907-4e05-a844-423928ad0b2d)) + (fp_line (start 10.04 9.84) (end 10.04 10.48) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45ce2208-b8d8-4771-b5f8-659ecad2b582)) + (fp_line (start 10.04 12.38) (end 10.04 13.02) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ee9edfd2-98f9-4c7f-a992-5d2ec71a914c)) + (pad "1" thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 48 "EXTRA1") (pinfunction "Pin_1") (pintype "passive") (tstamp 6c726ba8-391c-4c14-ba93-b9cb2bd015f4)) + (pad "2" thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 49 "EXTRA2") (pinfunction "Pin_2") (pintype "passive") (tstamp 2dc4d392-e65f-4710-b05b-441d344e5c8b)) + (pad "3" thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 50 "EXTRA3") (pinfunction "Pin_3") (pintype "passive") (tstamp 9bb23280-cf15-45e0-93a1-2b3b4e8df599)) + (pad "4" thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 51 "EXTRA4") (pinfunction "Pin_4") (pintype "passive") (tstamp 68542cd1-80d4-433e-9892-049a2c9fc5b3)) + (pad "5" thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 52 "EXTRA5") (pinfunction "Pin_5") (pintype "passive") (tstamp 26678962-a667-493c-a006-502bbd4a2dd1)) + (pad "6" thru_hole oval (at 0 12.7 180) (size 1.7 1.7) (drill 1) (layers "*.Cu" "*.Mask") + (net 53 "EXTRA6") (pinfunction "Pin_6") (pintype "passive") (tstamp c022914d-7253-4bf4-bbe0-5e079863b34e)) + (model "${KICAD6_3DMODEL_DIR}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x06_P2.54mm_Horizontal.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Button_Switch_SMD:SW_SPST_TL3305A" (layer "F.Cu") + (tstamp f5ff82b8-7973-4416-9a78-f4339bfb9b7f) + (at 177 118.25 -90) + (descr "https://www.e-switch.com/system/asset/product_line/data_sheet/213/TL3305.pdf") + (tags "TL3305 Series Tact Switch") + (property "Part Number" "TL3305AF160QG") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Push button switch, generic, two pins") + (property "ki_keywords" "switch normally-open pushbutton push-button") + (path "/0605d202-dfb3-4ecf-b39e-e6a5ba8ea228") + (attr smd) + (fp_text reference "SW2" (at 0 -3.2 -90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp c6ae4ca8-896d-496d-be7d-e9491f2e0e63) + ) + (fp_text value "SW_Push" (at 0 3.2 -90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 300059b0-6dcd-44ed-a3e6-4d833e3ee006) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp b99dac04-be1e-44b4-a96a-1ebf6b74338b) + ) + (fp_line (start -2.37 -2.37) (end -2.37 -1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b8dd496-36ee-454a-8a2c-c960d6cf02b0)) + (fp_line (start -2.37 -2.37) (end 2.37 -2.37) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c8e5681-58a1-41e9-bade-f797f7cf1148)) + (fp_line (start -2.37 1.03) (end -2.37 -1.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 968b0054-31b9-482c-abbf-d1480a8f27c0)) + (fp_line (start -2.37 2.37) (end -2.37 1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 74dd3f06-0dd0-4ee9-9242-0106ea7e3f3a)) + (fp_line (start -2.37 2.37) (end 2.37 2.37) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0cdc17a8-8ae3-445b-8afd-291a7b8d32a6)) + (fp_line (start 2.37 -2.37) (end 2.37 -1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1e40b13f-a33d-4477-81bb-707fc34e37b1)) + (fp_line (start 2.37 1.03) (end 2.37 -1.03) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b916641-0584-4135-aa99-6046a182f898)) + (fp_line (start 2.37 2.37) (end 2.37 1.97) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cce25a84-579d-445a-8176-c83b152cfa50)) + (fp_line (start -4.65 -2.5) (end 4.65 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fde7f696-8134-43b1-8cf4-92babc11c8c7)) + (fp_line (start -4.65 2.5) (end -4.65 -2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 625922e2-16e3-4ce6-a9f3-f93eecbb81b0)) + (fp_line (start 4.65 -2.5) (end 4.65 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4ce39d7-7a45-4892-9b10-4ca33a04e10e)) + (fp_line (start 4.65 2.5) (end -4.65 2.5) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ec6aec5f-8aa7-4fd0-965d-5654a2fd3672)) + (fp_line (start -3.75 -1.85) (end -3.75 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3d1f7ea5-aae9-45b2-ad90-5ee93a3c9e72)) + (fp_line (start -3.75 -1.15) (end -2.25 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 670c9073-663f-4d6f-a452-ce8bebd999ed)) + (fp_line (start -3.75 1.15) (end -3.75 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8cec50bc-3e29-4aa3-ba30-0e0af2765b2d)) + (fp_line (start -3.75 1.85) (end -2.25 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 571c489f-8111-4e9b-9195-8817add02bf0)) + (fp_line (start -3 -1.85) (end -3 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77c2c01c-a2f9-42ca-be7c-3809b68ca83b)) + (fp_line (start -3 1.15) (end -3 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 24e2f991-9092-4445-bc1a-c9cab89d9052)) + (fp_line (start -2.25 -2.25) (end 2.25 -2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bca6c740-1a5a-4f45-9b38-b84b599b10d7)) + (fp_line (start -2.25 -1.85) (end -3.75 -1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 320a2372-17b5-4be0-87dd-3ae947549d7f)) + (fp_line (start -2.25 1.15) (end -3.75 1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8b324f9e-835b-4680-946c-81f8dc34d319)) + (fp_line (start -2.25 2.25) (end -2.25 -2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 891a7c2b-5d06-4dfa-a500-82c33d0290fd)) + (fp_line (start 2.25 -2.25) (end 2.25 2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1583fbe8-2866-4fbe-87a6-4d39d3241ddf)) + (fp_line (start 2.25 -1.15) (end 3.75 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0edd6850-9dfd-4545-a60b-de0f895f43cb)) + (fp_line (start 2.25 1.15) (end 3.75 1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f84874d9-3745-44f7-bd0b-4f4ae99f1934)) + (fp_line (start 2.25 2.25) (end -2.25 2.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 48c9e4db-b05e-4da5-b231-3cbe9e20905c)) + (fp_line (start 3 -1.85) (end 3 -1.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2af2c07e-2c0c-4ed8-a5ab-a50cf788807c)) + (fp_line (start 3 1.15) (end 3 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 645e8d41-8fb9-4c66-8d4a-f93a0761a4c2)) + (fp_line (start 3.75 -1.85) (end 2.25 -1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2cb64ff5-0240-43f3-8969-6fc9a0b5081b)) + (fp_line (start 3.75 -1.15) (end 3.75 -1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1399bc54-b880-4a0e-a5e8-910bedead7ed)) + (fp_line (start 3.75 1.15) (end 3.75 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cdd269d2-150e-41d4-ae15-156e3abfbffc)) + (fp_line (start 3.75 1.85) (end 2.25 1.85) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp acb00708-7d28-4346-b3c0-c7c23a97573c)) + (fp_circle (center 0 0) (end 1.25 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 7f7a14a7-e5e0-4948-b79a-a7dee4b888d6)) + (pad "1" smd rect (at -3.6 -1.5 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "Net-(R2-Pad2)") (pinfunction "1") (pintype "passive") (tstamp 44c451e6-a839-43bf-9066-19fe40689007)) + (pad "1" smd rect (at 3.6 -1.5 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 27 "Net-(R2-Pad2)") (pinfunction "1") (pintype "passive") (tstamp a6e02593-7511-4cb2-90a1-9d38a5c9d04d)) + (pad "2" smd rect (at -3.6 1.5 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "2") (pintype "passive") (tstamp 1882f619-08d5-4424-aa91-aa2ffc1630b0)) + (pad "2" smd rect (at 3.6 1.5 270) (size 1.6 1.4) (layers "F.Cu" "F.Paste" "F.Mask") + (net 5 "GND") (pinfunction "2") (pintype "passive") (tstamp 3aac8b1d-2531-47d1-b492-f1419216db02)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_SPST_TL3305A.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Library:SOP65P640X120-14N" (layer "F.Cu") + (tstamp facf763a-c9fd-43ec-b9ca-e83bba0e141e) + (at 160.25 116 90) + (property "Part Number" "SN74LVC07APWR") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (path "/eff5fe6e-1e36-4e8f-be2e-679d90b167e5") + (attr smd) + (fp_text reference "U2" (at -0.595 -3.435 90) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 6fe1d4ed-bac8-401a-9d93-99f67a457edd) + ) + (fp_text value "SN74LVC07A" (at 7.025 3.435 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp fd582805-fe00-45b1-abd0-c71a261e8122) + ) + (fp_line (start -2.2 -2.5) (end 2.2 -2.5) + (stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp 462738a0-d55d-4a2c-b9d4-3cf3db95b04d)) + (fp_line (start -2.2 2.5) (end 2.2 2.5) + (stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp 9c1f4687-15a6-4d46-ad6f-ffaafe790d89)) + (fp_circle (center -4.24 -2.26) (end -4.14 -2.26) + (stroke (width 0.2) (type solid)) (fill none) (layer "F.SilkS") (tstamp 97878b61-fae6-485d-8710-aed27e82e729)) + (fp_line (start -3.905 -2.75) (end -3.905 2.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 09e89a3b-ebcf-492f-a5f3-e5593baf4c24)) + (fp_line (start -3.905 -2.75) (end 3.905 -2.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e210094-d17b-4595-904c-1ff8a43432a4)) + (fp_line (start -3.905 2.75) (end 3.905 2.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0a39b289-8f52-4304-b3cc-e45c5e27a1a8)) + (fp_line (start 3.905 -2.75) (end 3.905 2.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78290d1e-bb88-487d-b1b0-a7075deafba5)) + (fp_line (start -2.2 -2.5) (end -2.2 2.5) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp caecf7bd-beae-4cec-8da7-2a1dc6a24a70)) + (fp_line (start -2.2 -2.5) (end 2.2 -2.5) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 6333c85b-0415-41f0-91ba-b2f86b82f473)) + (fp_line (start -2.2 2.5) (end 2.2 2.5) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 1b0effc8-9344-4aa3-9263-bf4cf1794d01)) + (fp_line (start 2.2 -2.5) (end 2.2 2.5) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp e07757de-8cb8-4a28-aa38-8e03a7dcca87)) + (fp_circle (center -4.24 -2.26) (end -4.14 -2.26) + (stroke (width 0.2) (type solid)) (fill none) (layer "F.Fab") (tstamp d999f0f2-6340-437b-8cb5-46f9dfd16f02)) + (pad "1" smd roundrect (at -2.87 -1.95 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 69 "LED3") (pinfunction "1A") (pintype "input") (solder_mask_margin 0.102) (tstamp d7133eb7-b065-4ff7-895f-81308bea27cb)) + (pad "2" smd roundrect (at -2.87 -1.3 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 54 "Net-(U2-1Y)") (pinfunction "1Y") (pintype "output") (solder_mask_margin 0.102) (tstamp 388eae1b-70d4-4713-92fe-e5542cd819e0)) + (pad "3" smd roundrect (at -2.87 -0.65 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 68 "LED2") (pinfunction "2A") (pintype "input") (solder_mask_margin 0.102) (tstamp 7142f944-7222-4d25-a20e-6cf137f297cf)) + (pad "4" smd roundrect (at -2.87 0 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 55 "Net-(U2-2Y)") (pinfunction "2Y") (pintype "output") (solder_mask_margin 0.102) (tstamp 471f7c07-2c20-428a-8c06-0872569b451a)) + (pad "5" smd roundrect (at -2.87 0.65 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 63 "LED1") (pinfunction "3A") (pintype "input") (solder_mask_margin 0.102) (tstamp 771e9ec8-54df-4ad1-a7c8-b06d9eea07b0)) + (pad "6" smd roundrect (at -2.87 1.3 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 56 "Net-(U2-3Y)") (pinfunction "3Y") (pintype "output") (solder_mask_margin 0.102) (tstamp 58d50f92-ea2f-4c17-be31-f006892a8a40)) + (pad "7" smd roundrect (at -2.87 1.95 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 5 "GND") (pinfunction "GND") (pintype "power_in") (solder_mask_margin 0.102) (tstamp fac5c5dc-d592-4820-a953-e3681cfa30ce)) + (pad "8" smd roundrect (at 2.87 1.95 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 57 "Net-(U2-4Y)") (pinfunction "4Y") (pintype "output") (solder_mask_margin 0.102) (tstamp 32c4ae60-bf78-4b13-8c08-73a46b60a217)) + (pad "9" smd roundrect (at 2.87 1.3 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 62 "LED6") (pinfunction "4A") (pintype "input") (solder_mask_margin 0.102) (tstamp 51ef8140-8358-416c-a3d5-e9f9414790c9)) + (pad "10" smd roundrect (at 2.87 0.65 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 58 "Net-(U2-5Y)") (pinfunction "5Y") (pintype "output") (solder_mask_margin 0.102) (tstamp cb3a4c11-1c18-43e3-94c6-47aba6889d7d)) + (pad "11" smd roundrect (at 2.87 0 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 61 "LED5") (pinfunction "5A") (pintype "input") (solder_mask_margin 0.102) (tstamp b98d3445-4866-4dd8-9195-51691c403c27)) + (pad "12" smd roundrect (at 2.87 -0.65 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 59 "Net-(U2-6Y)") (pinfunction "6Y") (pintype "output") (solder_mask_margin 0.102) (tstamp 4a993c7c-21e7-4431-86c6-401ab70cb9bd)) + (pad "13" smd roundrect (at 2.87 -1.3 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 60 "LED4") (pinfunction "6A") (pintype "input") (solder_mask_margin 0.102) (tstamp 926a320e-2c68-4485-abe6-10a911478f19)) + (pad "14" smd roundrect (at 2.87 -1.95 90) (size 1.57 0.41) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.05) + (net 2 "VCC") (pinfunction "VCC") (pintype "power_in") (solder_mask_margin 0.102) (tstamp 4c0abe31-4eb0-4e2d-a626-a2482de39d82)) + ) + + (footprint "LED_SMD:LED_RGB_Wuerth-PLCC4_3.2x2.8mm_150141M173100" (layer "F.Cu") + (tstamp fe67a606-454f-40c9-87f8-9452a21c87f7) + (at 171.25 118.25 90) + (descr "3.2mm x 2.8mm PLCC4 LED, https://www.we-online.de/katalog/datasheet/150141M173100.pdf") + (tags "LED RGB Wurth PLCC-4") + (property "Part Number" "BL-HJXGXBX32M-A") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "RGB LED, red/green/blue/anode") + (property "ki_keywords" "LED RGB diode") + (path "/442424d7-3434-4ad9-9a08-390b96e29415") + (attr smd) + (fp_text reference "D1" (at 0 -2.4 90) (layer "F.SilkS") + (effects (font (size 0.8 0.8) (thickness 0.15))) + (tstamp 8d2015a3-4567-4e5a-972f-bd7faaf63d14) + ) + (fp_text value "LED_RGBA" (at 0 2.5 90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp b05ea345-8d6b-4367-a435-565de838d48e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.5 0.5) (thickness 0.075))) + (tstamp ec4f2bc1-656a-40cc-b96e-531ae71b44a6) + ) + (fp_line (start -2.5 -1.51) (end 2.3 -1.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c1281c5e-f78c-4e43-a697-1e57906c6eef)) + (fp_line (start -2.5 -0.7) (end -2.5 -1.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bba7c287-67a0-4498-b23d-8ce89877bbc3)) + (fp_line (start -2.3 1.51) (end 2.3 1.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 91bc9525-53d2-43ed-8f25-08ebb2a325af)) + (fp_line (start -2.55 -1.65) (end -2.55 1.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ea98875f-0ddd-4a8e-aff8-7aa18298dcbb)) + (fp_line (start -2.55 1.65) (end 2.55 1.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 67990d34-333d-4a95-98f8-051e02a6ba99)) + (fp_line (start 2.55 -1.65) (end -2.55 -1.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ff982df-42ed-4f8d-9e79-f035d2a15076)) + (fp_line (start 2.55 1.65) (end 2.55 -1.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c63f8cfc-271b-4a33-9cfd-2f132d9a554a)) + (fp_line (start -1.6 -1.4) (end -1.6 1.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 602020f7-d04d-4c31-afdc-ec887a7ed0fb)) + (fp_line (start -1.6 1.4) (end 1.6 1.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e271937e-3374-471a-9646-5ed549fab244)) + (fp_line (start -0.6 -1.4) (end -1.6 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0c57c04d-a0d5-4070-88a3-5dd1344ee1a3)) + (fp_line (start 1.6 -1.4) (end -1.6 -1.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0e083ed-8a04-47ba-be05-df2039f4b7d5)) + (fp_line (start 1.6 1.4) (end 1.6 -1.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e110e836-d329-4d10-8d00-5edd22422c67)) + (fp_circle (center 0 0) (end 1.12 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp ee81220c-0571-46a8-a142-9e31651e712f)) + (pad "1" smd rect (at 1.55 -0.7 90) (size 1.5 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 41 "Net-(D1-RK)") (pinfunction "RK") (pintype "passive") (tstamp 2cf25b63-620c-4095-8c9f-f8c854aa9576)) + (pad "2" smd rect (at 1.55 0.7 90) (size 1.5 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 42 "Net-(D1-GK)") (pinfunction "GK") (pintype "passive") (tstamp 0ee358ce-f915-4be1-8346-fd0c383bb7d1)) + (pad "3" smd rect (at -1.55 -0.7 90) (size 1.5 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 43 "Net-(D1-BK)") (pinfunction "BK") (pintype "passive") (tstamp 98c47c73-bc49-4477-943e-0ed56c35572a)) + (pad "4" smd rect (at -1.55 0.7 90) (size 1.5 0.9) (layers "F.Cu" "F.Paste" "F.Mask") + (net 2 "VCC") (pinfunction "A") (pintype "passive") (tstamp 4308e900-a74a-48f5-8498-3154152468df)) + (model "${KICAD6_3DMODEL_DIR}/LED_SMD.3dshapes/LED_RGB_Wuerth-PLCC4_3.2x2.8mm_150141M173100.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "Module:Arduino_UNO_R2" (layer "B.Cu") + (tstamp 5f608d07-8bc4-40aa-b67b-b431abb13a93) + (at 142.94 127.5) + (descr "Arduino UNO R2, http://www.mouser.com/pdfdocs/Gravitech_Arduino_Nano3_0.pdf") + (tags "Arduino UNO R2") + (property "Sheetfile" "FRAM Forth.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Arduino UNO Microcontroller Module, release 2") + (property "ki_keywords" "Arduino UNO R3 Microcontroller Module Atmel AVR USB") + (path "/6c2b41ab-5f95-4c59-968b-ccbf6374019b") + (attr through_hole allow_missing_courtyard) + (fp_text reference "A2" (at 1.27 3.81) (layer "B.SilkS") hide + (effects (font (size 0.75 0.75) (thickness 0.15)) (justify mirror)) + (tstamp cc972821-c0a3-4a3f-98a1-1635b0e4594a) + ) + (fp_text value "Arduino_UNO_R2" (at 0 -21.59) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 5f5202c3-b9e1-4923-9856-8282cfa13e38) + ) + (fp_text user "${REFERENCE}" (at 0 -19.05) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp ba9a095b-d619-4569-97bd-bec902f79b2e) + ) + (fp_line (start -34.42 -41.4) (end -28.07 -41.4) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 68d9e342-a671-48fd-9134-4af342b5536c)) + (fp_line (start -34.42 -29.72) (end -34.42 -41.4) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 0841016f-8d91-4d80-90d3-e0878fbb644b)) + (fp_line (start -29.97 -9.65) (end -28.07 -9.65) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 77f676ad-cb0a-43c9-aba3-8d18eb4067c7)) + (fp_line (start -29.97 -0.51) (end -29.97 -9.65) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 406a0cc3-0ab6-49c9-94b9-f0e349f1103b)) + (fp_line (start -28.07 -50.93) (end 36.58 -50.93) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 5fb33080-78d5-4038-896c-be7bb9ed6e91)) + (fp_line (start -28.07 -41.4) (end -28.07 -50.93) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp ea57781f-ed0f-4fbb-aad7-91fdcccb2c75)) + (fp_line (start -28.07 -29.72) (end -34.42 -29.72) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 6309646f-6733-4180-9ab0-f6a8232fd7ba)) + (fp_line (start -28.07 -9.65) (end -28.07 -29.72) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 118a7b80-b123-48b8-b18e-fd7390869faf)) + (fp_line (start -28.07 -0.51) (end -29.97 -0.51) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 33c4ef62-e838-453a-891a-4770a44a7091)) + (fp_line (start -28.07 2.67) (end -28.07 -0.51) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp e7451a90-cbf3-439e-8521-4446bd85d8cd)) + (fp_line (start 36.58 -50.93) (end 38.23 -49.28) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 14a25d14-766b-4a0b-84d3-1d05e6a1c9ae)) + (fp_line (start 38.23 -49.28) (end 38.23 -37.85) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 6a8d01e5-b799-45ec-892b-41571ee2113f)) + (fp_line (start 38.23 -37.85) (end 40.77 -35.31) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp c87ece76-3055-457e-b643-f4dc30c24ed3)) + (fp_line (start 38.23 0) (end 38.23 2.67) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b6a937f3-4e7c-43ca-878e-aef8108f5a36)) + (fp_line (start 38.23 2.67) (end -28.07 2.67) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 9a9f9e29-5834-4fcd-8baf-534318f0493d)) + (fp_line (start 40.77 -35.31) (end 40.77 -2.54) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 353040d6-d83d-4d7c-a9d5-b3a645db4fdf)) + (fp_line (start 40.77 -2.54) (end 38.23 0) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp 139eff5c-f9e1-4a35-9bbc-d855f5d0758a)) + (fp_line (start -34.54 -41.53) (end -34.54 -29.59) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2c39ec6d-bcc7-4c3b-8bb9-0ff8e0b174db)) + (fp_line (start -34.54 -29.59) (end -28.19 -29.59) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 8d884fc6-6c67-45ed-803d-f3bd26e548e4)) + (fp_line (start -30.1 -9.78) (end -30.1 -0.38) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2f77ac44-a7c6-41b2-890a-8806cc2b9755)) + (fp_line (start -30.1 -0.38) (end -28.19 -0.38) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 2e159316-e2cf-451e-b28d-db83b77ba01b)) + (fp_line (start -28.19 -51.05) (end -28.19 -41.53) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 40b41526-d0be-40ea-8c04-cc0006a13665)) + (fp_line (start -28.19 -41.53) (end -34.54 -41.53) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c3c298ed-4e8d-4bf4-a7c9-135aa6529c41)) + (fp_line (start -28.19 -29.59) (end -28.19 -9.78) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 58bc33d1-1d02-444a-bf72-88df8f8ee9c2)) + (fp_line (start -28.19 -9.78) (end -30.1 -9.78) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp f3ecf8bb-966f-4e82-b8dc-05c147a9a220)) + (fp_line (start -28.19 -0.38) (end -28.19 2.79) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 52f12198-0fd3-4548-8bee-c604bd4c9e58)) + (fp_line (start -28.19 2.79) (end 38.35 2.79) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 6cec513e-156f-463f-91b9-369671f0ceb6)) + (fp_line (start 36.58 -51.05) (end -28.19 -51.05) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 178b2891-6568-4181-875f-7038b953b863)) + (fp_line (start 38.35 -49.28) (end 36.58 -51.05) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp ee0e4977-7564-4254-9550-696a6be21fa7)) + (fp_line (start 38.35 -37.85) (end 38.35 -49.28) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 63ee6922-25de-4142-aa10-dcabb9a462cb)) + (fp_line (start 38.35 0) (end 40.89 -2.54) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp a3114f22-3bb1-4970-b8bc-cd31d3d90f78)) + (fp_line (start 38.35 2.79) (end 38.35 0) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 326cc9d3-7d0c-4bd2-b8b9-c5aac91519b2)) + (fp_line (start 40.89 -35.31) (end 38.35 -37.85) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c0dd514d-ffd1-4598-9bcc-89bb926ee014)) + (fp_line (start 40.89 -2.54) (end 40.89 -35.31) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp b30f85e0-0900-4456-99cd-06a893b8a112)) + (fp_line (start -34.29 -41.27) (end -34.29 -29.84) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp b3a9f4fa-27bf-412b-b844-a812471c6782)) + (fp_line (start -34.29 -29.84) (end -18.41 -29.84) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp ad79fee6-ff9a-46d3-a8b6-dafbd2b6180c)) + (fp_line (start -29.84 -9.53) (end -29.84 -0.64) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp c506c3a4-dc4c-4909-9f5b-5becd44c3896)) + (fp_line (start -29.84 -0.64) (end -16.51 -0.64) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 11fe6a20-4f45-4f61-ac06-61913d45b6ca)) + (fp_line (start -27.94 -50.8) (end -27.94 2.54) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 23ef82c2-b41c-45ee-9113-d641bd78428d)) + (fp_line (start -27.94 2.54) (end 38.1 2.54) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 5c6b4bd4-182a-493e-acd4-51b1493a4b1b)) + (fp_line (start -18.41 -41.27) (end -34.29 -41.27) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 24702e51-fbc0-4201-a33c-c30cdc5072ae)) + (fp_line (start -18.41 -29.84) (end -18.41 -41.27) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp b3740dd2-a30a-46be-a53c-22bbde495754)) + (fp_line (start -16.51 -9.53) (end -29.84 -9.53) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp c376b160-f643-4b0d-93f2-eb91a4815af4)) + (fp_line (start -16.51 -0.64) (end -16.51 -9.53) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp e917c290-2d33-42c6-a278-617cdeda7eeb)) + (fp_line (start 36.58 -50.8) (end -27.94 -50.8) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 9f9f6a70-8787-4a3d-8005-361148052861)) + (fp_line (start 38.1 -49.28) (end 36.58 -50.8) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 37641af0-c4e0-48bf-b4fc-5a7e2408c03d)) + (fp_line (start 38.1 -37.85) (end 38.1 -49.28) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 40c9b91c-4771-4686-b93a-8d0f515023f2)) + (fp_line (start 38.1 0) (end 40.64 -2.54) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp a7eca1c8-52a3-4c3f-a678-94903d9ad5e9)) + (fp_line (start 38.1 2.54) (end 38.1 0) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 8e8070ad-453b-4d3a-ad4c-8a5e9fb21f50)) + (fp_line (start 40.64 -35.31) (end 38.1 -37.85) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp e2c21b09-88fb-4dc8-9484-d5e4a1c43993)) + (fp_line (start 40.64 -2.54) (end 40.64 -35.31) + (stroke (width 0.1) (type solid)) (layer "B.Fab") (tstamp 8e630946-c534-4454-9716-d92d61b8017b)) + (pad "1" thru_hole rect (at 0 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 1 "unconnected-(A2-NC-Pad1)") (pinfunction "NC") (pintype "no_connect") (tstamp 76b1afc8-1d4e-45f0-8e5c-85bb436d111c)) + (pad "2" thru_hole oval (at 2.54 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "VCC") (pinfunction "IOREF") (pintype "output") (tstamp 44486836-c0ca-43eb-b7c1-b67d81bcd7b7)) + (pad "3" thru_hole oval (at 5.08 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 3 "RST_SBWTDIO") (pinfunction "~{RESET}") (pintype "input") (tstamp ea728468-6132-426f-9336-d88b0f0676a8)) + (pad "4" thru_hole oval (at 7.62 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 2 "VCC") (pinfunction "3V3") (pintype "power_out") (tstamp 33218a45-abf4-4a8e-b4b7-428f10a0ffb8)) + (pad "5" thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 4 "VBUS") (pinfunction "+5V") (pintype "power_out") (tstamp 7c64f468-9255-454e-8f7c-64bf12358d15)) + (pad "6" thru_hole oval (at 12.7 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 5 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ff992b6b-7c29-4310-9a34-dcae7a1f9757)) + (pad "7" thru_hole oval (at 15.24 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 5 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3c87a742-45df-429e-9cd3-0affdd556ec2)) + (pad "8" thru_hole oval (at 17.78 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 4 "VBUS") (pinfunction "VIN") (pintype "power_in") (tstamp c7f55ebb-01c1-4b17-9fd0-fa117fdf83fb)) + (pad "9" thru_hole oval (at 22.86 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 6 "A0") (pinfunction "A0") (pintype "bidirectional") (tstamp 84eaf05f-33eb-4702-b4f2-37d2081f248d)) + (pad "10" thru_hole oval (at 25.4 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 7 "A1") (pinfunction "A1") (pintype "bidirectional") (tstamp be5a1d5e-ad55-471f-a2b5-a15b373c7426)) + (pad "11" thru_hole oval (at 27.94 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 8 "A2") (pinfunction "A2") (pintype "bidirectional") (tstamp daf41e2f-3f0b-45fa-8dc2-ea41e2ce523a)) + (pad "12" thru_hole oval (at 30.48 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 9 "A3") (pinfunction "A3") (pintype "bidirectional") (tstamp 5141002c-b864-443c-9eb0-4b55731add75)) + (pad "13" thru_hole oval (at 33.02 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 10 "A4") (pinfunction "SDA/A4") (pintype "bidirectional") (tstamp 97d46ec7-4999-49bc-ac6f-6f335e92acbc)) + (pad "14" thru_hole oval (at 35.56 0 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 11 "A5") (pinfunction "SCL/A5") (pintype "bidirectional") (tstamp 7bb7c6a1-26e9-4ef1-8327-626328a52f98)) + (pad "15" thru_hole oval (at 35.56 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 12 "RX") (pinfunction "D0/RX") (pintype "bidirectional") (tstamp 69716b40-c870-4a1c-9f0f-bd1c7d9a2da0)) + (pad "16" thru_hole oval (at 33.02 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 13 "TX") (pinfunction "D1/TX") (pintype "bidirectional") (tstamp ceeae4b0-021b-4704-a934-13892816e057)) + (pad "17" thru_hole oval (at 30.48 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 14 "D2") (pinfunction "D2") (pintype "bidirectional") (tstamp 6a9fe6ed-cc4b-4116-8d86-c13c3c0db7b2)) + (pad "18" thru_hole oval (at 27.94 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 15 "D3") (pinfunction "D3") (pintype "bidirectional") (tstamp 74382bbc-7822-4098-ab58-29c2ca3a0d13)) + (pad "19" thru_hole oval (at 25.4 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 16 "D4") (pinfunction "D4") (pintype "bidirectional") (tstamp 539eeb62-b7e7-4c5c-9685-d0e7e143e8b9)) + (pad "20" thru_hole oval (at 22.86 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 17 "D5") (pinfunction "D5") (pintype "bidirectional") (tstamp 358d9020-eb54-4292-b7df-7cc6743bde51)) + (pad "21" thru_hole oval (at 20.32 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 18 "D6") (pinfunction "D6") (pintype "bidirectional") (tstamp 6db56f36-445d-4e03-be8a-7ba5ef9e4849)) + (pad "22" thru_hole oval (at 17.78 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 19 "D7") (pinfunction "D7") (pintype "bidirectional") (tstamp ceb127bc-26c2-4936-9276-73791e265ca3)) + (pad "23" thru_hole oval (at 13.72 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 20 "D8") (pinfunction "D8") (pintype "bidirectional") (tstamp 0c4db740-74f6-4003-9d5e-67a39c8e8a57)) + (pad "24" thru_hole oval (at 11.18 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 21 "D9") (pinfunction "D9") (pintype "bidirectional") (tstamp 1fedc4f0-d23e-4e1b-b451-c4cb70aaaee1)) + (pad "25" thru_hole oval (at 8.64 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 72 "SS") (pinfunction "D10") (pintype "bidirectional") (tstamp f3c78232-9e46-4bad-810d-dc4095410fe3)) + (pad "26" thru_hole oval (at 6.1 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 66 "MOSI") (pinfunction "D11") (pintype "bidirectional") (tstamp a726858c-bb95-4dc0-91b3-73b53f9d24e4)) + (pad "27" thru_hole oval (at 3.56 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 67 "MISO") (pinfunction "D12") (pintype "bidirectional") (tstamp 011b522b-3af2-4fd2-98e0-9a1e6cdb6106)) + (pad "28" thru_hole oval (at 1.02 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 65 "SCK") (pinfunction "D13") (pintype "bidirectional") (tstamp bc5b04ea-16f7-4a57-8008-85fbae1616e4)) + (pad "29" thru_hole oval (at -1.52 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 5 "GND") (pinfunction "GND") (pintype "power_in") (tstamp b530aea0-d0bc-4cd8-9acc-8cc4d3ff5ed1)) + (pad "30" thru_hole oval (at -4.06 -48.26 270) (size 1.6 1.6) (drill 1) (layers "*.Cu" "*.Mask") + (net 22 "AREF") (pinfunction "AREF") (pintype "input") (tstamp 3bf2bf1b-1814-4321-ada9-30ab9f8942d0)) + (model "${KICAD6_3DMODEL_DIR}/Module.3dshapes/Arduino_UNO_R2.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_poly + (pts + (xy 117.941328 126.994424) + (xy 117.992838 126.997192) + (xy 118.017062 126.999289) + (xy 118.039884 127.00186) + (xy 118.061018 127.004903) + (xy 118.080179 127.008417) + (xy 118.151304 127.026576) + (xy 118.220816 127.050075) + (xy 118.288538 127.078741) + (xy 118.354289 127.112402) + (xy 118.41789 127.150886) + (xy 118.479163 127.19402) + (xy 118.537929 127.241632) + (xy 118.594007 127.29355) + (xy 118.647219 127.349602) + (xy 118.697386 127.409615) + (xy 118.744329 127.473418) + (xy 118.787868 127.540838) + (xy 118.827825 127.611702) + (xy 118.86402 127.685839) + (xy 118.896275 127.763076) + (xy 118.924409 127.843241) + (xy 118.944462 127.909623) + (xy 118.960712 127.973719) + (xy 118.967497 128.005675) + (xy 118.973435 128.037979) + (xy 118.978559 128.070938) + (xy 118.982906 128.104858) + (xy 118.986508 128.140046) + (xy 118.9894 128.176808) + (xy 118.993194 128.25628) + (xy 118.994563 128.345729) + (xy 118.993782 128.447606) + (xy 118.990254 128.699229) + (xy 118.95498 128.727449) + (xy 118.943006 128.736426) + (xy 118.937117 128.740095) + (xy 118.930839 128.743267) + (xy 118.923831 128.745977) + (xy 118.915751 128.748262) + (xy 118.906259 128.750157) + (xy 118.895014 128.7517) + (xy 118.881674 128.752925) + (xy 118.865899 128.75387) + (xy 118.825678 128.755062) + (xy 118.771623 128.755565) + (xy 118.701006 128.755668) + (xy 118.629093 128.755611) + (xy 118.599838 128.755474) + (xy 118.574569 128.755209) + (xy 118.552924 128.754771) + (xy 118.543348 128.754474) + (xy 118.534541 128.754118) + (xy 118.52646 128.753697) + (xy 118.519059 128.753207) + (xy 118.512292 128.752641) + (xy 118.506115 128.751994) + (xy 118.500482 128.751261) + (xy 118.495349 128.750436) + (xy 118.490669 128.749515) + (xy 118.486397 128.748492) + (xy 118.48249 128.74736) + (xy 118.4789 128.746116) + (xy 118.475583 128.744753) + (xy 118.472494 128.743267) + (xy 118.469588 128.741651) + (xy 118.466819 128.739901) + (xy 118.464142 128.738011) + (xy 118.461513 128.735976) + (xy 118.458884 128.733789) + (xy 118.456213 128.731447) + (xy 118.450558 128.726273) + (xy 118.446307 128.722137) + (xy 118.442372 128.717893) + (xy 118.438752 128.713549) + (xy 118.435445 128.709113) + (xy 118.432451 128.704595) + (xy 118.429768 128.700003) + (xy 118.427396 128.695346) + (xy 118.425333 128.690631) + (xy 118.423579 128.685868) + (xy 118.422132 128.681066) + (xy 118.420991 128.676233) + (xy 118.420155 128.671377) + (xy 118.419623 128.666508) + (xy 118.419394 128.661633) + (xy 118.419467 128.656762) + (xy 118.41984 128.651903) + (xy 118.420514 128.647064) + (xy 118.421485 128.642255) + (xy 118.422755 128.637484) + (xy 118.424321 128.632759) + (xy 118.426182 128.62809) + (xy 118.428338 128.623484) + (xy 118.430786 128.61895) + (xy 118.433527 128.614497) + (xy 118.436559 128.610134) + (xy 118.439882 128.60587) + (xy 118.443493 128.601711) + (xy 118.447391 128.597669) + (xy 118.451577 128.59375) + (xy 118.456048 128.589964) + (xy 118.460804 128.586319) + (xy 118.465844 128.582824) + (xy 118.47884 128.574573) + (xy 118.48513 128.571159) + (xy 118.491546 128.568182) + (xy 118.498286 128.56561) + (xy 118.505548 128.563416) + (xy 118.51353 128.56157) + (xy 118.52243 128.560043) + (xy 118.532445 128.558805) + (xy 118.543775 128.557827) + (xy 118.57117 128.556534) + (xy 118.606199 128.55593) + (xy 118.650446 128.555781) + (xy 118.793894 128.555781) + (xy 118.803301 128.465243) + (xy 118.806524 128.41809) + (xy 118.807425 128.368999) + (xy 118.806081 128.318293) + (xy 118.802566 128.266293) + (xy 118.796957 128.213322) + (xy 118.789329 128.159701) + (xy 118.779758 128.105753) + (xy 118.768321 128.0518) + (xy 118.755092 127.998164) + (xy 118.740147 127.945166) + (xy 118.723563 127.89313) + (xy 118.705415 127.842377) + (xy 118.685779 127.79323) + (xy 118.66473 127.746009) + (xy 118.642345 127.701038) + (xy 118.618699 127.658639) + (xy 118.590411 127.613482) + (xy 118.560281 127.570208) + (xy 118.528393 127.528879) + (xy 118.494835 127.489561) + (xy 118.459692 127.452317) + (xy 118.423051 127.41721) + (xy 118.384997 127.384304) + (xy 118.345617 127.353664) + (xy 118.304997 127.325352) + (xy 118.263223 127.299432) + (xy 118.220382 127.275968) + (xy 118.176558 127.255024) + (xy 118.131839 127.236664) + (xy 118.08631 127.220951) + (xy 118.040058 127.207949) + (xy 117.993169 127.197722) + (xy 117.960565 127.191935) + (xy 117.946585 127.189661) + (xy 117.933846 127.187801) + (xy 117.922119 127.186354) + (xy 117.911177 127.185321) + (xy 117.900789 127.184701) + (xy 117.890727 127.184494) + (xy 117.880761 127.184701) + (xy 117.870662 127.185321) + (xy 117.860202 127.186354) + (xy 117.849151 127.187801) + (xy 117.83728 127.189661) + (xy 117.82436 127.191935) + (xy 117.794457 127.197722) + (xy 117.746525 127.208613) + (xy 117.700142 127.22147) + (xy 117.655257 127.236328) + (xy 117.611821 127.253224) + (xy 117.569783 127.272193) + (xy 117.529094 127.293273) + (xy 117.489704 127.316498) + (xy 117.451562 127.341906) + (xy 117.414619 127.369532) + (xy 117.378825 127.399412) + (xy 117.34413 127.431584) + (xy 117.310483 127.466082) + (xy 117.277836 127.502943) + (xy 117.246138 127.542204) + (xy 117.215339 127.5839) + (xy 117.185388 127.628068) + (xy 117.164762 127.66122) + (xy 117.145211 127.694979) + (xy 117.126739 127.729344) + (xy 117.109347 127.764315) + (xy 117.093036 127.799892) + (xy 117.077809 127.836076) + (xy 117.063667 127.872866) + (xy 117.050611 127.910262) + (xy 117.038645 127.948264) + (xy 117.027768 127.986873) + (xy 117.017984 128.026088) + (xy 117.009293 128.065909) + (xy 117.001697 128.106337) + (xy 116.995199 128.147371) + (xy 116.9898 128.189011) + (xy 116.985501 128.231257) + (xy 116.981151 128.283673) + (xy 116.977822 128.335757) + (xy 116.975539 128.385858) + (xy 116.974331 128.432321) + (xy 116.974225 128.473492) + (xy 116.975249 128.507719) + (xy 116.976194 128.521712) + (xy 116.977431 128.533348) + (xy 116.978965 128.542422) + (xy 116.980798 128.548726) + (xy 116.982155 128.55165) + (xy 116.984107 128.55426) + (xy 116.986779 128.556575) + (xy 116.990296 128.55861) + (xy 116.994785 128.560383) + (xy 117.000371 128.561912) + (xy 117.00718 128.563214) + (xy 117.015337 128.564305) + (xy 117.036201 128.565927) + (xy 117.063968 128.566914) + (xy 117.099644 128.567406) + (xy 117.144235 128.567539) + (xy 117.191284 128.567683) + (xy 117.211028 128.567903) + (xy 117.228508 128.568255) + (xy 117.243913 128.568766) + (xy 117.257437 128.569461) + (xy 117.269268 128.570366) + (xy 117.27461 128.570905) + (xy 117.2796 128.571507) + (xy 117.284263 128.572174) + (xy 117.288623 128.57291) + (xy 117.292703 128.573718) + (xy 117.296528 128.5746) + (xy 117.300121 128.575562) + (xy 117.303506 128.576604) + (xy 117.306707 128.577732) + (xy 117.309749 128.578948) + (xy 117.312654 128.580255) + (xy 117.315447 128.581656) + (xy 117.318152 128.583155) + (xy 117.320792 128.584756) + (xy 117.323392 128.58646) + (xy 117.325976 128.588272) + (xy 117.331189 128.592231) + (xy 117.334826 128.595302) + (xy 117.338243 128.598555) + (xy 117.341438 128.60198) + (xy 117.344412 128.605567) + (xy 117.347163 128.609304) + (xy 117.349692 128.613181) + (xy 117.351998 128.617188) + (xy 117.35408 128.621314) + (xy 117.355939 128.625548) + (xy 117.357573 128.62988) + (xy 117.358982 128.6343) + (xy 117.360166 128.638797) + (xy 117.361124 128.64336) + (xy 117.361857 128.647978) + (xy 117.362363 128.652642) + (xy 117.362642 128.657341) + (xy 117.362693 128.662064) + (xy 117.362517 128.6668) + (xy 117.362113 128.671539) + (xy 117.36148 128.676271) + (xy 117.360617 128.680985) + (xy 117.359526 128.68567) + (xy 117.358204 128.690316) + (xy 117.356652 128.694912) + (xy 117.35487 128.699448) + (xy 117.352856 128.703913) + (xy 117.35061 128.708296) + (xy 117.348132 128.712588) + (xy 117.345422 128.716777) + (xy 117.342479 128.720853) + (xy 117.339302 128.724806) + (xy 117.335892 128.728625) + (xy 117.327083 128.737551) + (xy 117.322468 128.741163) + (xy 117.317226 128.744259) + (xy 117.310992 128.74688) + (xy 117.303401 128.749066) + (xy 117.294088 128.750855) + (xy 117.282687 128.752288) + (xy 117.252162 128.754242) + (xy 117.208905 128.755246) + (xy 117.072511 128.755668) + (xy 116.833822 128.755668) + (xy 116.810306 128.725097) + (xy 116.802493 128.714239) + (xy 116.799294 128.708438) + (xy 116.796527 128.701948) + (xy 116.794158 128.694439) + (xy 116.792159 128.685579) + (xy 116.790497 128.675038) + (xy 116.789141 128.662485) + (xy 116.787226 128.630022) + (xy 116.786165 128.585543) + (xy 116.785614 128.449958) + (xy 116.786213 128.352019) + (xy 116.788204 128.267212) + (xy 116.789812 128.22891) + (xy 116.791876 128.192904) + (xy 116.794433 128.158865) + (xy 116.797519 128.126464) + (xy 116.801169 128.095372) + (xy 116.805421 128.06526) + (xy 116.81031 128.035799) + (xy 116.815872 128.00666) + (xy 116.822144 127.977514) + (xy 116.829162 127.948032) + (xy 116.84558 127.886746) + (xy 116.862432 127.831035) + (xy 116.871307 127.804276) + (xy 116.880523 127.778149) + (xy 116.890112 127.75258) + (xy 116.900103 127.727495) + (xy 116.910529 127.70282) + (xy 116.921419 127.678481) + (xy 116.932806 127.654403) + (xy 116.94472 127.630514) + (xy 116.957191 127.606738) + (xy 116.970252 127.583001) + (xy 116.983933 127.55923) + (xy 116.998265 127.535351) + (xy 117.029006 127.486971) + (xy 117.060598 127.441732) + (xy 117.094018 127.398218) + (xy 117.129163 127.356498) + (xy 117.165932 127.316644) + (xy 117.204225 127.278726) + (xy 117.243938 127.242814) + (xy 117.28497 127.208979) + (xy 117.32722 127.177292) + (xy 117.370587 127.147824) + (xy 117.414967 127.120645) + (xy 117.460261 127.095825) + (xy 117.506366 127.073435) + (xy 117.55318 127.053547) + (xy 117.600603 127.03623) + (xy 117.648531 127.021555) + (xy 117.696865 127.009593) + (xy 117.715612 127.005872) + (xy 117.736388 127.002646) + (xy 117.758907 126.999912) + (xy 117.782883 126.997669) + (xy 117.834063 126.994649) + (xy 117.88764 126.993572) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4e6d1b22-64aa-4e7e-9a3d-fdd2928daf37)) + (gr_poly + (pts + (xy 117.865513 127.724261) + (xy 117.897928 127.72566) + (xy 117.925153 127.727215) + (xy 117.937749 127.728179) + (xy 117.949719 127.729279) + (xy 117.961104 127.730524) + (xy 117.971942 127.731922) + (xy 117.982275 127.733483) + (xy 117.99214 127.735213) + (xy 118.001578 127.737123) + (xy 118.010629 127.739221) + (xy 118.019332 127.741515) + (xy 118.027727 127.744014) + (xy 118.035852 127.746726) + (xy 118.043749 127.749661) + (xy 118.051457 127.752826) + (xy 118.059014 127.756231) + (xy 118.089425 127.772106) + (xy 118.11805 127.790192) + (xy 118.144894 127.810496) + (xy 118.169963 127.833026) + (xy 118.19326 127.857788) + (xy 118.214793 127.884789) + (xy 118.234565 127.914036) + (xy 118.252581 127.945536) + (xy 118.268848 127.979296) + (xy 118.283371 128.015322) + (xy 118.296153 128.053622) + (xy 118.307201 128.094202) + (xy 118.31652 128.13707) + (xy 118.324115 128.182232) + (xy 118.329991 128.229695) + (xy 118.334153 128.279466) + (xy 118.335166 128.302474) + (xy 118.335586 128.327118) + (xy 118.335455 128.352968) + (xy 118.334815 128.379593) + (xy 118.333705 128.406563) + (xy 118.332169 128.433446) + (xy 118.330247 128.459813) + (xy 118.32798 128.485232) + (xy 118.32541 128.509274) + (xy 118.322579 128.531507) + (xy 118.319527 128.551501) + (xy 118.316296 128.568825) + (xy 118.312927 128.583049) + (xy 118.309461 128.593742) + (xy 118.307705 128.59763) + (xy 118.305941 128.600473) + (xy 118.304173 128.602219) + (xy 118.302406 128.602813) + (xy 118.301955 128.602871) + (xy 118.301485 128.603043) + (xy 118.300491 128.603718) + (xy 118.299435 128.604813) + (xy 118.298328 128.606304) + (xy 118.297179 128.608167) + (xy 118.295999 128.610378) + (xy 118.294799 128.612912) + (xy 118.293588 128.615747) + (xy 118.292377 128.618857) + (xy 118.291177 128.622218) + (xy 118.289997 128.625807) + (xy 118.288848 128.629599) + (xy 118.28774 128.633571) + (xy 118.286685 128.637697) + (xy 118.285691 128.641954) + (xy 118.284769 128.646318) + (xy 118.282367 128.657877) + (xy 118.279161 128.670004) + (xy 118.275205 128.682607) + (xy 118.270549 128.695592) + (xy 118.265246 128.708866) + (xy 118.259347 128.722337) + (xy 118.252904 128.735911) + (xy 118.245968 128.749495) + (xy 118.238591 128.762997) + (xy 118.230824 128.776323) + (xy 118.222721 128.78938) + (xy 118.214331 128.802076) + (xy 118.205707 128.814317) + (xy 118.1969 128.82601) + (xy 118.187963 128.837062) + (xy 118.178946 128.847381) + (xy 118.164869 128.862025) + (xy 118.150009 128.875751) + (xy 118.134417 128.888559) + (xy 118.118144 128.900449) + (xy 118.101241 128.911418) + (xy 118.083758 128.921467) + (xy 118.065746 128.930595) + (xy 118.047256 128.9388) + (xy 118.028339 128.946082) + (xy 118.009046 128.95244) + (xy 117.989427 128.957873) + (xy 117.969533 128.962381) + (xy 117.949416 128.965961) + (xy 117.929125 128.968615) + (xy 117.908712 128.970339) + (xy 117.888228 128.971135) + (xy 117.867723 128.971) + (xy 117.847248 128.969935) + (xy 117.826854 128.967938) + (xy 117.806592 128.965008) + (xy 117.786512 128.961144) + (xy 117.766666 128.956347) + (xy 117.747104 128.950613) + (xy 117.727877 128.943944) + (xy 117.709036 128.936338) + (xy 117.690631 128.927794) + (xy 117.672714 128.918311) + (xy 117.655335 128.907889) + (xy 117.638545 128.896527) + (xy 117.622395 128.884223) + (xy 117.606936 128.870977) + (xy 117.592218 128.856788) + (xy 117.574328 128.837095) + (xy 117.557674 128.816312) + (xy 117.542226 128.79434) + (xy 117.527953 128.771082) + (xy 117.514823 128.746439) + (xy 117.510956 128.738031) + (xy 117.749776 128.738031) + (xy 117.774468 128.75802) + (xy 117.777581 128.760376) + (xy 117.781171 128.762594) + (xy 117.789671 128.766613) + (xy 117.799756 128.770075) + (xy 117.811212 128.772974) + (xy 117.823826 128.775309) + (xy 117.837383 128.777076) + (xy 117.851671 128.778271) + (xy 117.866475 128.77889) + (xy 117.881583 128.778931) + (xy 117.89678 128.77839) + (xy 117.911853 128.777263) + (xy 117.926589 128.775547) + (xy 117.940773 128.773238) + (xy 117.954193 128.770334) + (xy 117.966634 128.76683) + (xy 117.977883 128.762723) + (xy 117.981969 128.760785) + (xy 117.986263 128.758516) + (xy 117.990726 128.755944) + (xy 117.995318 128.753096) + (xy 118 128.75) + (xy 118.004732 128.746684) + (xy 118.009474 128.743175) + (xy 118.014186 128.739501) + (xy 118.01883 128.735688) + (xy 118.023365 128.731766) + (xy 118.027753 128.727761) + (xy 118.031952 128.723701) + (xy 118.035924 128.719613) + (xy 118.039629 128.715525) + (xy 118.043028 128.711465) + (xy 118.04608 128.70746) + (xy 118.055981 128.693594) + (xy 118.06499 128.679649) + (xy 118.073151 128.665436) + (xy 118.080509 128.650764) + (xy 118.08711 128.635445) + (xy 118.092998 128.619288) + (xy 118.098217 128.602105) + (xy 118.102813 128.583706) + (xy 118.10683 128.563902) + (xy 118.110313 128.542502) + (xy 118.113307 128.519319) + (xy 118.115857 128.494161) + (xy 118.119802 128.437166) + (xy 118.122508 128.370003) + (xy 118.123608 128.332012) + (xy 118.124253 128.296901) + (xy 118.12443 128.265234) + (xy 118.124124 128.237578) + (xy 118.123323 128.214495) + (xy 118.122732 128.204846) + (xy 118.122012 128.196553) + (xy 118.121161 128.189685) + (xy 118.120177 128.184315) + (xy 118.119059 128.180511) + (xy 118.118449 128.17922) + (xy 118.117804 128.178346) + (xy 118.116954 128.178011) + (xy 118.11573 128.178323) + (xy 118.112178 128.180858) + (xy 118.107186 128.185895) + (xy 118.100792 128.193374) + (xy 118.083949 128.215425) + (xy 118.061954 128.246543) + (xy 118.035107 128.286259) + (xy 118.003714 128.334104) + (xy 117.928499 128.452309) + (xy 117.749776 128.738031) + (xy 117.510956 128.738031) + (xy 117.502806 128.720313) + (xy 117.491871 128.692606) + (xy 117.481986 128.66322) + (xy 117.473121 128.632056) + (xy 117.465245 128.599017) + (xy 117.458326 128.564004) + (xy 117.452334 128.526918) + (xy 117.447237 128.487663) + (xy 117.443005 128.446139) + (xy 117.439607 128.402248) + (xy 117.437011 128.355893) + (xy 117.436458 128.343733) + (xy 117.636451 128.343733) + (xy 117.63734 128.396312) + (xy 117.639717 128.444426) + (xy 117.641424 128.465512) + (xy 117.643458 128.483928) + (xy 117.645801 128.499153) + (xy 117.648439 128.510671) + (xy 117.649863 128.514877) + (xy 117.651356 128.517961) + (xy 117.652914 128.519859) + (xy 117.654536 128.520506) + (xy 117.660061 128.514349) + (xy 117.672444 128.496933) + (xy 117.713804 128.434654) + (xy 117.835023 128.244632) + (xy 117.954477 128.051745) + (xy 117.993633 127.985883) + (xy 118.004583 127.966138) + (xy 118.008454 127.957294) + (xy 118.008427 127.956623) + (xy 118.008346 127.955932) + (xy 118.008212 127.955224) + (xy 118.008027 127.954499) + (xy 118.007509 127.953008) + (xy 118.006801 127.95147) + (xy 118.005914 127.949898) + (xy 118.004858 127.948303) + (xy 118.003644 127.946698) + (xy 118.002281 127.945095) + (xy 118.000781 127.943506) + (xy 117.999153 127.941942) + (xy 117.997409 127.940416) + (xy 117.995557 127.93894) + (xy 117.993609 127.937527) + (xy 117.991575 127.936187) + (xy 117.989465 127.934933) + (xy 117.98729 127.933778) + (xy 117.979172 127.930004) + (xy 117.96991 127.926624) + (xy 117.959656 127.923648) + (xy 117.948562 127.921083) + (xy 117.936778 127.918938) + (xy 117.924457 127.917223) + (xy 117.911751 127.915944) + (xy 117.89881 127.915112) + (xy 117.885787 127.914735) + (xy 117.872832 127.91482) + (xy 117.860098 127.915378) + (xy 117.847736 127.916416) + (xy 117.835898 127.917944) + (xy 117.824734 127.919969) + (xy 117.814398 127.922501) + (xy 117.805039 127.925547) + (xy 117.788181 127.932448) + (xy 117.772413 127.940408) + (xy 117.757696 127.949494) + (xy 117.743989 127.959774) + (xy 117.731254 127.971315) + (xy 117.719451 127.984184) + (xy 117.70854 127.998448) + (xy 117.698482 128.014174) + (xy 117.689236 128.03143) + (xy 117.680764 128.050282) + (xy 117.673026 128.070798) + (xy 117.665982 128.093045) + (xy 117.659592 128.11709) + (xy 117.653817 128.143001) + (xy 117.648618 128.170844) + (xy 117.643954 128.200686) + (xy 117.641554 128.219973) + (xy 117.639634 128.241773) + (xy 117.638179 128.265568) + (xy 117.637174 128.290838) + (xy 117.636451 128.343733) + (xy 117.436458 128.343733) + (xy 117.434827 128.307885) + (xy 117.433649 128.268351) + (xy 117.433476 128.251137) + (xy 117.433601 128.235293) + (xy 117.434039 128.220568) + (xy 117.434807 128.206713) + (xy 117.435919 128.193478) + (xy 117.43739 128.180613) + (xy 117.439238 128.167869) + (xy 117.441476 128.154995) + (xy 117.444121 128.141743) + (xy 117.447187 128.127862) + (xy 117.454649 128.097215) + (xy 117.464208 128.062601) + (xy 117.474412 128.03013) + (xy 117.485326 127.999712) + (xy 117.497014 127.971257) + (xy 117.509544 127.944676) + (xy 117.522979 127.91988) + (xy 117.537385 127.896778) + (xy 117.544973 127.885835) + (xy 117.552829 127.875282) + (xy 117.560959 127.865107) + (xy 117.569374 127.855301) + (xy 117.578081 127.845851) + (xy 117.587088 127.836746) + (xy 117.596403 127.827976) + (xy 117.606034 127.819528) + (xy 117.615991 127.811393) + (xy 117.62628 127.803557) + (xy 117.647889 127.788744) + (xy 117.670928 127.774998) + (xy 117.695462 127.762231) + (xy 117.721557 127.750352) + (xy 117.742784 127.741334) + (xy 117.752376 127.737628) + (xy 117.761516 127.734423) + (xy 117.770373 127.731694) + (xy 117.779119 127.729415) + (xy 117.787923 127.727559) + (xy 117.796956 127.726101) + (xy 117.806388 127.725015) + (xy 117.816391 127.724275) + (xy 117.827134 127.723856) + (xy 117.838789 127.723731) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 7161ccf0-22a1-4728-9a94-2ecb87336fc6)) + (gr_line (start 179.5 130) (end 116.5 130) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp 50ebd7f8-e5c6-45a9-931a-dcd5eef7a11d)) + (gr_line (start 116.5 76.75) (end 179.5 76.75) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp 5e9ac5ff-9c12-47d3-952d-993965698d39)) + (gr_line (start 181 78.25) (end 181 128.5) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp 75d17c83-018b-44b3-a50c-c4a0fdd31eb8)) + (gr_line (start 115 128.5) (end 115 78.25) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp a4e066be-7cc2-475d-be14-b0edeaad9dee)) + (gr_line (start 115 128.5) (end 116.5 130) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp d5b2a11e-6c21-4d83-a397-1e8a54711c29)) + (gr_line (start 116.5 76.75) (end 115 78.25) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp dc675e9a-8cce-459c-a6d2-081acfaa7133)) + (gr_line (start 181 128.5) (end 179.5 130) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp dddbb47a-7e59-446a-8862-06d3ac972eee)) + (gr_line (start 179.5 76.75) (end 181 78.25) + (stroke (width 0.1) (type default)) (layer "Edge.Cuts") (tstamp f135709d-0e5c-4508-b4e8-77ae17b2f55d)) + (gr_text "A3" (at 173.5 126.5 90) (layer "F.SilkS") (tstamp 01d1d3f7-7d11-48cc-970b-4f26be2b2204) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "D3" (at 171 80.25 90) (layer "F.SilkS") (tstamp 039e96e4-6d26-43e8-9fb3-a436db2783b5) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "D7" (at 160.75 80.25 90) (layer "F.SilkS") (tstamp 12168024-c41f-4ec9-bf49-d9cbed7486aa) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "GND" (at 158.25 126.5 90) (layer "F.SilkS") (tstamp 137eebc5-21a3-4c99-bb64-5b23457ee23c) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "D5" (at 166 80.25 90) (layer "F.SilkS") (tstamp 13c140f7-0599-427c-bf4f-f3c084b8ba8c) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "P1.7" (at 127.25 103) (layer "F.SilkS") (tstamp 198fd340-f5b9-48b1-b0f6-a3013c096c4a) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "GND" (at 145 104) (layer "F.SilkS") (tstamp 19a08f54-853b-4a0e-9f3c-6454a9d4ec45) + (effects (font (size 1 1) (thickness 0.15)) (justify right)) + ) + (gr_text "AREF" (at 139 80.25 90) (layer "F.SilkS") (tstamp 22fc2ca3-4aa9-4396-bb29-f716cee4b7ff) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "D6" (at 163.25 80.25 90) (layer "F.SilkS") (tstamp 2527c160-648b-4e55-bb1f-c5e09d46f9a3) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "D1/TX" (at 176 80.25 90) (layer "F.SilkS") (tstamp 2f6e38f6-a74b-4944-9f36-9756d8debec2) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "A0" (at 166 126.5 90) (layer "F.SilkS") (tstamp 4019c1ae-fc3b-4bb9-96cd-8946c63af3b5) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "TEST" (at 145 101.5) (layer "F.SilkS") (tstamp 4181424e-4077-47df-9041-7fc6940242bb) + (effects (font (size 1 1) (thickness 0.15)) (justify right)) + ) + (gr_text "devboard" (at 128.25 128.85) (layer "F.SilkS") (tstamp 42c32a5e-2dd8-414b-b124-940f8501d12c) + (effects (font (size 1.25 1.25) (thickness 0.2)) (justify left bottom)) + ) + (gr_text "D8" (at 156.75 80.25 90) (layer "F.SilkS") (tstamp 48fe84d0-392e-4c21-a44a-0a4879138d83) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "P5.3" (at 127.25 110.5) (layer "F.SilkS") (tstamp 4b7802af-25cc-43c3-8b36-1f4a65d3f0d0) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "D10/SS" (at 151.75 80.25 90) (layer "F.SilkS") (tstamp 4ffb6ec7-114d-454a-9a71-63bd1bf0a0f6) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "A5" (at 178.5 126.5 90) (layer "F.SilkS") (tstamp 574e4836-6900-4dfd-b258-f792ba17fee2) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "alee" (at 120.5 128.9) (layer "F.SilkS") (tstamp 6128aa40-6e7a-41a8-88e5-57214d8ba5ba) + (effects (font (size 2.25 2.25) (thickness 0.35)) (justify left bottom)) + ) + (gr_text "A1" (at 168.5 126.5 90) (layer "F.SilkS") (tstamp 61f896d6-423b-4dd9-ba3c-5ffeb55e140b) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "P4.3" (at 127.25 105.5) (layer "F.SilkS") (tstamp 626dd490-10f8-410e-868c-9ed93d8cbc75) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "D4" (at 168.5 80.25 90) (layer "F.SilkS") (tstamp 665f215b-7717-4426-81ea-e3fdb6edce0c) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "D2" (at 173.5 80.25 90) (layer "F.SilkS") (tstamp 686429d4-c2db-4fd7-8b5f-4bba838c15a1) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "RESET" (at 118.5 91.5) (layer "F.SilkS") (tstamp 68f39f79-f3e6-44c0-bff0-3880b199791d) + (effects (font (size 1.25 1.25) (thickness 0.25) bold) (justify bottom)) + ) + (gr_text "D11/MOSI" (at 149.25 80.25 90) (layer "F.SilkS") (tstamp 6df63450-7c7b-4e95-9e5d-b7e963ee2f09) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "D9" (at 154.25 80.25 90) (layer "F.SilkS") (tstamp 6ef864d9-03cb-487a-be78-a923e79eae9a) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "GND" (at 155.5 126.5 90) (layer "F.SilkS") (tstamp 743ced91-f91c-4c15-9bea-0a66077ed6c8) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "NRST" (at 148 126.5 90) (layer "F.SilkS") (tstamp 77dd5964-dd39-4cd8-b05b-ab04716ee21f) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "P4.4" (at 127.25 108) (layer "F.SilkS") (tstamp 7c150a73-6dd2-4c58-b60c-295388829914) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "RESET" (at 145 99) (layer "F.SilkS") (tstamp 7d6e6320-82fa-49d3-bcd2-949901aee45b) + (effects (font (size 1 1) (thickness 0.15)) (justify right)) + ) + (gr_text "5V" (at 153 126.5 90) (layer "F.SilkS") (tstamp 7f4d79b9-a6c6-4ef6-8e75-7060ec489585) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "D0/RX" (at 178.5 80.25 90) (layer "F.SilkS") (tstamp 81f18496-26db-476e-887a-72445ef6f65d) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "A2" (at 171 126.5 90) (layer "F.SilkS") (tstamp 8dc211e6-484a-4b6e-8d57-40420297546c) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "VCC" (at 145 96.5) (layer "F.SilkS") (tstamp b26aa980-fef7-4c18-9805-c308a1aa55d5) + (effects (font (size 1 1) (thickness 0.15)) (justify right)) + ) + (gr_text "A4" (at 176 126.5 90) (layer "F.SilkS") (tstamp b6057ca5-9a50-4e69-8945-430f12d0d274) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "D13/SCK" (at 144 80.25 90) (layer "F.SilkS") (tstamp b8aec628-f7c5-4cb6-ab3f-948a298b8919) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "IOREF" (at 145.5 126.5 90) (layer "F.SilkS") (tstamp c99ab00a-1dbe-48b2-9635-546878e55d46) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "GND" (at 141.5 80.25 90) (layer "F.SilkS") (tstamp d1c944a9-17e0-41c7-b730-694f5ea216f1) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "P5.0" (at 127.25 115.75) (layer "F.SilkS") (tstamp d731eaf4-d025-4788-a3f6-b8eb4237b9f0) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "D12/MISO" (at 146.75 80.25 90) (layer "F.SilkS") (tstamp d7b083d1-808c-4fd1-9790-bda7570073cd) + (effects (font (size 1 1) (thickness 0.2)) (justify right)) + ) + (gr_text "P2.2" (at 127.25 113) (layer "F.SilkS") (tstamp dedf922e-26e0-4889-b8d2-ac03df5cece0) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "VIN" (at 160.75 126.5 90) (layer "F.SilkS") (tstamp df51e1d6-178c-49d9-80db-bef62b779a7e) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + (gr_text "3V3" (at 150.5 126.5 90) (layer "F.SilkS") (tstamp f3a918d9-4bcf-4256-aa1c-085a033f6b38) + (effects (font (size 1 1) (thickness 0.2)) (justify left)) + ) + + (segment (start 156.75 113.25) (end 158 113.25) (width 0.75) (layer "F.Cu") (net 2) (tstamp 27fe041f-5f73-467a-984d-7d7a477c209f)) + (segment (start 152.75 98.5) (end 152.5 98.25) (width 0.25) (layer "F.Cu") (net 2) (tstamp 315a8cc1-f034-4851-b732-433eced84b73)) + (segment (start 171.95 120.8) (end 171.25 121.5) (width 0.25) (layer "F.Cu") (net 2) (tstamp 591e996f-b9bb-4d10-9b7f-f61c69a40180)) + (segment (start 132.75 80.6) (end 134.65 80.6) (width 0.75) (layer "F.Cu") (net 2) (tstamp 59886ded-cf7c-40a2-b281-a7ba9f4f7391)) + (segment (start 137.5 84.5) (end 134.75 81.75) (width 0.75) (layer "F.Cu") (net 2) (tstamp 67138391-8b73-4cff-949b-9ec32d98c535)) + (segment (start 144.680001 113.25) (end 156.75 113.25) (width 0.75) (layer "F.Cu") (net 2) (tstamp 68253cb1-9bd4-4f2a-8432-91133a133a12)) + (segment (start 134.75 81.75) (end 134.75 80.5) (width 0.75) (layer "F.Cu") (net 2) (tstamp 68ce918e-cc28-4dc0-8e8a-7be2a294df3d)) + (segment (start 149.425 97) (end 147.3 97) (width 0.75) (layer "F.Cu") (net 2) (tstamp 6989ce2d-f170-4294-b156-a55f7ac9628b)) + (segment (start 147.3 97) (end 146.75 96.45) (width 0.75) (layer "F.Cu") (net 2) (tstamp 6cbc301b-8ff3-41f5-93b4-935b1bf21c91)) + (segment (start 146.98 129) (end 145.48 127.5) (width 0.75) (layer "F.Cu") (net 2) (tstamp 8ef4211e-0bbb-48ac-b4ff-b05da5f31a7e)) + (segment (start 150.675 98.25) (end 149.425 97) (width 0.25) (layer "F.Cu") (net 2) (tstamp 9eb26fb1-18df-40d9-b3e2-ae22f9c26b6f)) + (segment (start 152.5 98.25) (end 150.675 98.25) (width 0.25) (layer "F.Cu") (net 2) (tstamp a8382a66-6204-4c56-b678-8365a97adf10)) + (segment (start 152.75 99.175) (end 152.75 98.5) (width 0.25) (layer "F.Cu") (net 2) (tstamp ab036c14-b130-4fea-a3b1-08fe071b8210)) + (segment (start 144.5 84.5) (end 137.5 84.5) (width 0.75) (layer "F.Cu") (net 2) (tstamp abf38335-02c8-4a18-b3ae-c1518df94d5c)) + (segment (start 149.06 129) (end 146.98 129) (width 0.75) (layer "F.Cu") (net 2) (tstamp b66f324d-141c-4318-8063-0a51c4604deb)) + (segment (start 170.55 107.2) (end 168.05 107.2) (width 0.25) (layer "F.Cu") (net 2) (tstamp c9608773-58f5-41dd-a912-5ac062f59f70)) + (segment (start 150.56 127.5) (end 149.06 129) (width 0.75) (layer "F.Cu") (net 2) (tstamp cd2e910f-14bc-4d0c-878f-d47035b143b6)) + (segment (start 168.05 107.2) (end 168 107.25) (width 0.25) (layer "F.Cu") (net 2) (tstamp e6c260e8-8651-4d14-8371-93e8c705a2ef)) + (segment (start 134.65 80.6) (end 134.75 80.5) (width 0.75) (layer "F.Cu") (net 2) (tstamp e986cc9c-7e63-4cd1-9c2a-5735f531235f)) + (segment (start 158.3 113.13) (end 158.12 113.13) (width 0.25) (layer "F.Cu") (net 2) (tstamp f103e664-01dc-423f-b653-6a5398ca2a35)) + (segment (start 171.25 121.5) (end 168 121.5) (width 0.25) (layer "F.Cu") (net 2) (tstamp f2be8d99-9cc9-4564-bb3d-f35cb162d763)) + (segment (start 158.12 113.13) (end 158 113.25) (width 0.25) (layer "F.Cu") (net 2) (tstamp f35d73f7-0183-4f08-aa23-d15f537b9553)) + (segment (start 171.95 119.8) (end 171.95 120.8) (width 0.25) (layer "F.Cu") (net 2) (tstamp f86bd75a-02ce-44ca-aad1-e9d9c3d0993a)) + (via (at 144.5 84.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0d41611d-12e6-46b7-a486-586ce814552b)) + (via (at 168 121.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 39812fc9-6436-41d1-98cc-7c27a0c0c7f6)) + (via (at 168 107.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3a59835b-2e37-4eba-bc5d-716f5bc191df)) + (via (at 144.680001 113.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 49e23ccb-c79b-4cd4-9fa2-265298b0d424)) + (segment (start 151.935 128.875) (end 161.875 128.875) (width 0.75) (layer "B.Cu") (net 2) (tstamp 082d72a5-194c-4107-931a-5b513bad061d)) + (segment (start 161.875 128.875) (end 165 125.75) (width 0.75) (layer "B.Cu") (net 2) (tstamp 0b0f5c52-e3ea-4bc2-897c-83c5cdb4f14b)) + (segment (start 165 125.75) (end 168 122.75) (width 0.75) (layer "B.Cu") (net 2) (tstamp 0b184121-667e-41ce-b448-37617165364d)) + (segment (start 145.48 127.5) (end 144.680001 126.700001) (width 0.75) (layer "B.Cu") (net 2) (tstamp 336da40c-4c8d-4f0e-a379-584924fb66bf)) + (segment (start 144.680001 96.569999) (end 144.680001 84.680001) (width 0.75) (layer "B.Cu") (net 2) (tstamp 3e8e262f-1adc-434b-aebf-0ea1bf41a581)) + (segment (start 144.680001 84.680001) (end 144.5 84.5) (width 0.75) (layer "B.Cu") (net 2) (tstamp 41ce5788-2164-45a0-bb93-d6b202c6bc97)) + (segment (start 168 122.75) (end 168 121.5) (width 0.75) (layer "B.Cu") (net 2) (tstamp 7cdf200d-8546-496d-a4d0-091352d5dc41)) + (segment (start 168 121.5) (end 168 107.25) (width 0.75) (layer "B.Cu") (net 2) (tstamp 832ed17f-0dc1-447e-b66e-ed992a14c44c)) + (segment (start 144.680001 126.700001) (end 144.680001 113.25) (width 0.75) (layer "B.Cu") (net 2) (tstamp b3404d0a-4856-4f65-bbcb-2d901fe58291)) + (segment (start 146.75 96.45) (end 144.8 96.45) (width 0.75) (layer "B.Cu") (net 2) (tstamp c6f05d1d-0404-4e2a-a436-95041392390a)) + (segment (start 150.56 127.5) (end 151.935 128.875) (width 0.75) (layer "B.Cu") (net 2) (tstamp d57e18ab-c28b-4e49-bb1c-8de92ee97583)) + (segment (start 144.8 96.45) (end 144.680001 96.569999) (width 0.75) (layer "B.Cu") (net 2) (tstamp d9d2e680-d673-4345-a258-cf4e0269d6c2)) + (segment (start 144.680001 113.25) (end 144.680001 96.569999) (width 0.75) (layer "B.Cu") (net 2) (tstamp e7e97ec6-4212-4d1b-9a9f-4524e507a16c)) + (segment (start 154.775 101.124999) (end 153.049999 101.124999) (width 0.25) (layer "F.Cu") (net 3) (tstamp 0671ee05-225c-4a24-8132-4509db3fa3e1)) + (segment (start 153.049999 101.124999) (end 152.75 100.825) (width 0.25) (layer "F.Cu") (net 3) (tstamp 102d3eb9-8c50-455f-aadc-86438f79598d)) + (segment (start 151.25 100.775) (end 148.535 100.775) (width 0.25) (layer "F.Cu") (net 3) (tstamp 20f198c8-2f6a-4575-9366-9aaaa4b36d2c)) + (segment (start 145.9 98.14) (end 118.71 98.14) (width 0.25) (layer "F.Cu") (net 3) (tstamp 22145317-4fdd-4e68-99fb-3c83a2c22a46)) + (segment (start 151.3 100.825) (end 151.25 100.775) (width 0.25) (layer "F.Cu") (net 3) (tstamp 55dea610-6cfb-4bf6-bb62-3352b7275a9e)) + (segment (start 146.75 98.99) (end 145.9 98.14) (width 0.25) (layer "F.Cu") (net 3) (tstamp 7de94f43-8ddf-4d5b-b1ca-f2bc85efeab4)) + (segment (start 148.535 100.775) (end 146.75 98.99) (width 0.25) (layer "F.Cu") (net 3) (tstamp 91e5144f-d0b5-45d6-8b42-9b55393c0044)) + (segment (start 152.75 100.825) (end 151.3 100.825) (width 0.25) (layer "F.Cu") (net 3) (tstamp 9540e3f9-d700-4bb5-9655-f83c8d8e2312)) + (segment (start 117 92.65) (end 117 99.85) (width 0.25) (layer "F.Cu") (net 3) (tstamp 9d37e896-5c16-4b31-aaeb-d407e4d16726)) + (segment (start 118.71 98.14) (end 117 99.85) (width 0.25) (layer "F.Cu") (net 3) (tstamp adf2caef-4d31-44ba-90ed-4fb693eedd9b)) + (segment (start 148.02 127.5) (end 148.02 100.26) (width 0.25) (layer "B.Cu") (net 3) (tstamp 30c28a2a-e976-41a2-bd6c-3be6b92166e1)) + (segment (start 148.02 100.26) (end 146.75 98.99) (width 0.25) (layer "B.Cu") (net 3) (tstamp 3a6b90bd-0d28-4753-b489-4f6d275d8441)) + (segment (start 124.75 80.825) (end 123.2625 80.825) (width 0.75) (layer "F.Cu") (net 4) (tstamp 06e1067c-3c6c-47b7-945e-bc791af5c504)) + (segment (start 159.22 129) (end 154.6 129) (width 0.75) (layer "F.Cu") (net 4) (tstamp 0d1c1472-d95c-4fc4-a80d-f08540d9f8d6)) + (segment (start 132.25 125.75) (end 151.35 125.75) (width 0.75) (layer "F.Cu") (net 4) (tstamp 11137959-27e9-471a-93d6-db9ddab5f803)) + (segment (start 127.3 91.96) (end 126.21 91.96) (width 0.25) (layer "F.Cu") (net 4) (tstamp 182c3b7b-505b-4887-be70-ebaa536d19ae)) + (segment (start 154.6 129) (end 153.1 127.5) (width 0.75) (layer "F.Cu") (net 4) (tstamp 186450c1-9100-43b6-a041-d5dd2a84e4e4)) + (segment (start 129.3 79.3) (end 129.75 79.75) (width 0.75) (layer "F.Cu") (net 4) (tstamp 3a26a60b-b972-4ccc-a023-e53fc8db3adf)) + (segment (start 126.21 91.96) (end 126 91.75) (width 0.25) (layer "F.Cu") (net 4) (tstamp 3dd67495-cb3a-4d7c-aaf3-a45039ad40df)) + (segment (start 126.375 80.825) (end 126.5 80.7) (width 0.75) (layer "F.Cu") (net 4) (tstamp 3e25ae9b-9171-4ed9-88a0-61ead8489d75)) + (segment (start 129.65 80.7) (end 129.75 80.6) (width 0.75) (layer "F.Cu") (net 4) (tstamp 4914ff57-38f8-4e07-80b2-21014addcd47)) + (segment (start 123.2625 80.825) (end 123.25 80.8125) (width 0.75) (layer "F.Cu") (net 4) (tstamp 5d4364b1-8f3e-4da6-80db-51b4b2b98344)) + (segment (start 129.75 79.75) (end 129.75 80.6) (width 0.75) (layer "F.Cu") (net 4) (tstamp 6e6a866f-9d82-427f-9eab-eac2786034b2)) + (segment (start 151.35 125.75) (end 153.1 127.5) (width 0.75) (layer "F.Cu") (net 4) (tstamp a417a443-4d54-49bd-bf05-a01ad4cd645c)) + (segment (start 160.72 127.5) (end 159.22 129) (width 0.75) (layer "F.Cu") (net 4) (tstamp aed121a5-079f-432b-9ae5-8f862ea20746)) + (segment (start 124.75 80.825) (end 126.375 80.825) (width 0.75) (layer "F.Cu") (net 4) (tstamp db29387a-5627-4c47-abc7-8efa0a205738)) + (segment (start 126.5 80.7) (end 129.65 80.7) (width 0.75) (layer "F.Cu") (net 4) (tstamp de714683-e979-4190-b801-b864008897c1)) + (segment (start 129.3 78.5) (end 129.3 79.3) (width 0.75) (layer "F.Cu") (net 4) (tstamp e1e3f9b9-d108-4e1b-a4e8-7259fc9b68e4)) + (segment (start 126.5 82) (end 126.5 80.7) (width 0.75) (layer "F.Cu") (net 4) (tstamp f4adfc65-f9f4-4ad1-8186-0ca48fda8e4a)) + (via (at 132.25 125.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 2a1c9120-9ab8-40e2-a7fa-71453e1e4e73)) + (via (at 126.5 82) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp 55913b03-5ae6-499c-8098-d6b6e33cf9b8)) + (via (at 126 91.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 4) (tstamp ee2b64f1-824a-4c0b-bc87-d995647e8bb7)) + (segment (start 128.5 91.75) (end 128.5 106.75) (width 0.75) (layer "B.Cu") (net 4) (tstamp 1645e704-f693-4abd-a664-ebeb3972ac6a)) + (segment (start 128.5 106.75) (end 128.5 122) (width 0.75) (layer "B.Cu") (net 4) (tstamp 17418fd4-c622-47b2-b5fb-9c6ab21e68fc)) + (segment (start 126 91.75) (end 128.5 91.75) (width 0.25) (layer "B.Cu") (net 4) (tstamp 421cbd26-2b12-4cf0-a3ad-a05783aad3df)) + (segment (start 126.5 82) (end 128.5 84) (width 0.75) (layer "B.Cu") (net 4) (tstamp 45e52827-4c33-4f58-bd36-b30397d8448d)) + (segment (start 128.5 122) (end 132.25 125.75) (width 0.75) (layer "B.Cu") (net 4) (tstamp 495f4193-5c44-44d7-9d6b-aff61d4845cc)) + (segment (start 128.5 84) (end 128.5 91.75) (width 0.75) (layer "B.Cu") (net 4) (tstamp 8f02a2b8-9179-4243-9117-b3f80fd32d89)) + (segment (start 132.5 91.96) (end 131.46 91.96) (width 0.25) (layer "F.Cu") (net 5) (tstamp 0bf76e31-b9a9-4d8d-b8fe-90089158acd7)) + (segment (start 156.25 99.15) (end 155.9 99.15) (width 0.25) (layer "F.Cu") (net 5) (tstamp 59c1d60a-d281-4785-9eca-72c3948c34f9)) + (segment (start 128.345 92.595) (end 128.5 92.75) (width 0.25) (layer "F.Cu") (net 5) (tstamp 94f53d7e-615c-485c-bb7c-a711df0fcc9e)) + (segment (start 127.3 92.595) (end 128.345 92.595) (width 0.25) (layer "F.Cu") (net 5) (tstamp ccf453fa-396c-43e5-9997-457f14edd29e)) + (segment (start 131.46 91.96) (end 130 90.5) (width 0.25) (layer "F.Cu") (net 5) (tstamp e878b29e-17ec-4eb2-8059-7785cbaf8eac)) + (via (at 135.5 87.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 02f63f9b-0140-4188-96b6-b2cbd4308781)) + (via (at 163.25 118.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 055900c1-4fcb-4ce4-a761-e59f323ac8dd)) + (via (at 176.75 103.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 2a99e3e6-9079-46dd-ae68-5a018168fb34)) + (via (at 120.5 115) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 2f155564-52bc-4762-9781-9a2861fe75f9)) + (via (at 164.25 117.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 31fd4b1f-6520-446c-8597-d092994c2e70)) + (via (at 146.5 114.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 33d21c16-5963-4f09-acaf-12a33b33c364)) + (via (at 141.75 94.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 45f9ed46-ff94-4a1b-b835-cc9298a6e4d2)) + (via (at 167 91) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 464d370d-a844-42cd-a210-92a185342e59)) + (via (at 120.5 125.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 4d0fd604-3614-4fa4-8ca2-94ba7bbee984)) + (via (at 156.5 103) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 4d771bba-16be-4cce-b72f-da36fa248d51)) + (via (at 180 83.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 50398541-724c-4bd1-8e28-bd5d8e0e1615)) + (via (at 155.5 85.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 51c690dd-c318-46cf-afe4-b928d9324d5f)) + (via (at 124.25 86.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 5485937d-525c-4760-abba-84f8a905bc70)) + (via (at 133.75 102.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 5ea3a81d-3232-47d2-be2b-d258b4c2a3ce)) + (via (at 120.5 103.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 5f731fb6-cb5f-4c43-a911-7730b58850d3)) + (via (at 121.75 95.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 69e06d5f-d37d-4bac-9a1e-ab13cf87be18)) + (via (at 166.25 121.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 7d94a0b7-350a-4a22-a6dd-84973afb509c)) + (via (at 146.5 120) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 928b8af3-9ed6-4a6e-8686-d58c7d9523bd)) + (via (at 151.75 109.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp 9b542fba-9152-43b6-acea-bcac1d41fda0)) + (via (at 129.25 82.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp ad0675fa-255a-4dbe-abd2-a3d078f48aee)) + (via (at 154.75 107.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp aeff82bf-f5a7-4c6f-8ddd-ee75696c8f8d)) + (via (at 133.25 84.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp b0592cd7-ced5-4722-bc42-f6b158fe9d86)) + (via (at 161.25 103) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp c0bafa25-4b0e-4132-a56a-77350d65aab3)) + (via (at 164.5 109.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp c5782b5f-9443-4f2d-b3ec-989ab57c2728)) + (via (at 173.5 112.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp cdc85c81-2e51-46f2-92ec-49ff1c97c4fc)) + (via (at 163.25 82.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp ce851eb8-6e5f-462d-a546-d316f90da9ba)) + (via (at 149.5 99) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp d51147d7-3ba9-4f8c-8834-4e81c6702b04)) + (via (at 135 84.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp d6a009b0-1779-4585-ae65-33e19788947d)) + (via (at 136.5 111.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp e39ad581-a4e7-4f03-89cd-81cd463868c5)) + (via (at 133.75 96.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp e59926f5-eac3-45d1-b322-25ca5bf9f936)) + (via (at 137.5 128.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp e6b716a3-de12-4e08-a368-f6f5146aa67b)) + (via (at 136.5 119.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp f32cee35-ff39-4266-a127-8a0c95a2d4b4)) + (via (at 178.75 123.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp f3922caf-fcdb-4c68-97be-4d673931220a)) + (via (at 149 91.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp f4877fba-a401-44c2-ba11-c314b457072f)) + (via (at 130 90.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 5) (tstamp fa29261d-0eb2-464a-8fd4-0523a626022d)) + (via (at 133.25 82.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (free) (net 5) (tstamp fec79db0-f8c0-47e6-b61f-31df6adb0720)) + (segment (start 153.5 126.25) (end 153 125.75) (width 0.25) (layer "F.Cu") (net 6) (tstamp 0e7c168f-b657-490c-acb8-969bda1612f9)) + (segment (start 164.55 126.25) (end 164.5 126.25) (width 0.25) (layer "F.Cu") (net 6) (tstamp 24ccc0ba-07dc-4f2f-b33a-23d199d02048)) + (segment (start 164.5 126.25) (end 153.5 126.25) (width 0.25) (layer "F.Cu") (net 6) (tstamp 4cbb0a07-3ba0-4649-8e4d-98e5ae3d74be)) + (segment (start 165.8 127.5) (end 164.55 126.25) (width 0.25) (layer "F.Cu") (net 6) (tstamp 5b7feef8-fb54-4b4b-ad70-94e22387161b)) + (segment (start 153.875 106.125) (end 153 107) (width 0.25) (layer "F.Cu") (net 6) (tstamp a3ba32ae-bb21-4752-9834-3cccbd044d20)) + (segment (start 154.775 106.125) (end 153.875 106.125) (width 0.25) (layer "F.Cu") (net 6) (tstamp fb73ac26-4355-4783-b653-8348876c117a)) + (via (at 153 107) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 6) (tstamp 89201f6f-00d8-44a2-866d-5eb5cc39a705)) + (via (at 153 125.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 6) (tstamp 90fcb77e-5ee6-4128-8260-bb014b1773dc)) + (segment (start 153 125.75) (end 153 107) (width 0.25) (layer "B.Cu") (net 6) (tstamp 325e0652-5420-44fb-8752-915e85bccd8a)) + (segment (start 166.59 125.75) (end 157.5 125.75) (width 0.25) (layer "F.Cu") (net 7) (tstamp 1d4c8c4d-80d3-41a9-9126-dc950970f439)) + (segment (start 156.25 109) (end 156 109.25) (width 0.25) (layer "F.Cu") (net 7) (tstamp 493962e7-ac96-444f-9530-8c95ace7f7f9)) + (segment (start 156.25 125.75) (end 156 125.5) (width 0.25) (layer "F.Cu") (net 7) (tstamp 7df25ddd-0af5-4b6f-996c-f8f6fdb85af1)) + (segment (start 157.5 125.75) (end 156.25 125.75) (width 0.25) (layer "F.Cu") (net 7) (tstamp d7f8b83b-7b59-4cb7-aa7b-8739ce210536)) + (segment (start 156.25 107.6) (end 156.25 109) (width 0.25) (layer "F.Cu") (net 7) (tstamp d926fc1e-adf8-435b-a3e4-fd73a42c5c9d)) + (segment (start 168.34 127.5) (end 166.59 125.75) (width 0.25) (layer "F.Cu") (net 7) (tstamp fa9f0d23-024d-4647-948d-3ec2edae1c45)) + (via (at 156 109.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 7) (tstamp 30fde162-7946-415f-bb65-b4703cb0a0e8)) + (via (at 156 125.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 7) (tstamp 3aab38dc-5b42-47aa-b333-61439907c3b5)) + (segment (start 156 125.5) (end 156 109.25) (width 0.25) (layer "B.Cu") (net 7) (tstamp 0d1c3a84-7b97-4b4c-8f63-c011f5286e6c)) + (segment (start 157.5 125.25) (end 157 124.75) (width 0.25) (layer "F.Cu") (net 8) (tstamp 19cc27ef-49fd-40a2-8f61-77794588c409)) + (segment (start 170.88 127.5) (end 168.63 125.25) (width 0.25) (layer "F.Cu") (net 8) (tstamp 540f5cb2-313b-40ec-afe7-a059fc45645f)) + (segment (start 157 124.75) (end 149.5 124.75) (width 0.25) (layer "F.Cu") (net 8) (tstamp 642a450c-f9cc-4ad8-a300-6e2b284835fc)) + (segment (start 149.724501 102.624999) (end 149.5 102.8495) (width 0.25) (layer "F.Cu") (net 8) (tstamp 933a5eb2-bbc1-4338-b337-fcb8e519bc32)) + (segment (start 154.775 102.624999) (end 149.724501 102.624999) (width 0.25) (layer "F.Cu") (net 8) (tstamp a4870039-f0c9-4e4d-88a0-0879170d9877)) + (segment (start 168.63 125.25) (end 157.5 125.25) (width 0.25) (layer "F.Cu") (net 8) (tstamp cab17679-09f1-4fa1-b57f-afdab3172ed9)) + (via (at 149.5 102.8495) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 8) (tstamp bd6a82e7-3757-4ec4-81f0-5313d66c1afb)) + (via (at 149.5 124.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 8) (tstamp de604839-be93-4337-9c8f-6ca7549da0af)) + (segment (start 149.5 124.75) (end 149.5 102.8495) (width 0.25) (layer "B.Cu") (net 8) (tstamp 28b2cb26-8004-4263-94cd-c3a2c3c36470)) + (segment (start 150.7245 124.25) (end 150.5 124.0255) (width 0.25) (layer "F.Cu") (net 9) (tstamp 21ae41bb-921e-4acb-8244-0531a69a405e)) + (segment (start 157.136396 124.25) (end 152 124.25) (width 0.25) (layer "F.Cu") (net 9) (tstamp 52ef79b2-2145-4b10-96a3-15e08c777949)) + (segment (start 152 124.25) (end 150.7245 124.25) (width 0.25) (layer "F.Cu") (net 9) (tstamp 75ca768d-b812-488d-a231-44c0b13240e9)) + (segment (start 166 124.8) (end 157.686396 124.8) (width 0.25) (layer "F.Cu") (net 9) (tstamp 81c084ff-69ba-4fbf-9e05-b36f9b22bd51)) + (segment (start 154.775 103.125) (end 150.724499 103.125) (width 0.25) (layer "F.Cu") (net 9) (tstamp a48cf045-cacb-4a01-b984-28ffd19d9d0e)) + (segment (start 150.724499 103.125) (end 150.5 103.349499) (width 0.25) (layer "F.Cu") (net 9) (tstamp b0b60410-7179-4fda-8435-aa394b824558)) + (segment (start 157.686396 124.8) (end 157.136396 124.25) (width 0.25) (layer "F.Cu") (net 9) (tstamp b9fff898-397b-4ef4-a7f9-e676be968169)) + (segment (start 173.42 127.5) (end 170.72 124.8) (width 0.25) (layer "F.Cu") (net 9) (tstamp e31eae1f-de26-4a8d-adbf-e3f58e44be5d)) + (segment (start 170.72 124.8) (end 166 124.8) (width 0.25) (layer "F.Cu") (net 9) (tstamp eeaf44e4-1ea5-4251-9640-c4a4c702395b)) + (via (at 150.5 124.0255) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 9) (tstamp 6a5a2bfb-f3bd-41c6-a1d8-9716dceda649)) + (via (at 150.5 103.349499) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 9) (tstamp d3ffed94-ef6a-47d9-99c8-2af23322f769)) + (segment (start 150.5 124.0255) (end 150.5 103.349499) (width 0.25) (layer "B.Cu") (net 9) (tstamp d7df14a8-9411-4467-a571-70c95c1ed8ce)) + (segment (start 157.048292 123.5255) (end 156.945725 123.5255) (width 0.25) (layer "F.Cu") (net 10) (tstamp 0e833d1d-a1f1-483a-b780-85e6138cbf57)) + (segment (start 156.7245 107.625499) (end 156.749999 107.6) (width 0.25) (layer "F.Cu") (net 10) (tstamp 4ea1dde7-2897-4e92-a42c-b3892d02b014)) + (segment (start 157.772792 124.25) (end 157.048292 123.5255) (width 0.25) (layer "F.Cu") (net 10) (tstamp 6471e49a-2a36-49dc-9a20-5bd51dc6c3ae)) + (segment (start 175.96 127.5) (end 172.71 124.25) (width 0.25) (layer "F.Cu") (net 10) (tstamp 9ace06a6-9004-43b9-a4a5-40dcfb82be1c)) + (segment (start 156.7245 109.956755) (end 156.7245 107.625499) (width 0.25) (layer "F.Cu") (net 10) (tstamp a175bab9-6982-43f7-b15c-c3386f8da9a6)) + (segment (start 168.25 124.25) (end 157.772792 124.25) (width 0.25) (layer "F.Cu") (net 10) (tstamp e9c932f0-f245-49b7-8704-3eb80e7dbec2)) + (segment (start 172.71 124.25) (end 168.25 124.25) (width 0.25) (layer "F.Cu") (net 10) (tstamp f5fcbd78-3416-4691-bff7-2255abebb3e6)) + (via (at 156.7245 109.956755) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 6e0d9d90-c520-4b69-bf63-2332329df5f9)) + (via (at 156.945725 123.5255) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 10) (tstamp 795d02f2-8a30-4e03-8bad-8d3a3b7fb275)) + (segment (start 156.945725 123.5255) (end 156.945725 110.17798) (width 0.25) (layer "B.Cu") (net 10) (tstamp 22267e63-ef08-48b6-b8e0-18720e056043)) + (segment (start 156.945725 110.17798) (end 156.7245 109.956755) (width 0.25) (layer "B.Cu") (net 10) (tstamp 4ef87274-db8b-42fb-926e-9ba240ea9c97)) + (segment (start 165.5 123.25) (end 174.25 123.25) (width 0.25) (layer "F.Cu") (net 11) (tstamp 07098373-00ba-4c44-86d8-bd39b33073b2)) + (segment (start 157.249998 108.999998) (end 157.5 109.25) (width 0.25) (layer "F.Cu") (net 11) (tstamp 709b2a2a-b71b-4cba-ad84-beb80c206fbb)) + (segment (start 157.249998 107.6) (end 157.249998 108.999998) (width 0.25) (layer "F.Cu") (net 11) (tstamp c563222a-9ca2-41ab-a0df-4dac90e3104c)) + (segment (start 174.25 123.25) (end 178.5 127.5) (width 0.25) (layer "F.Cu") (net 11) (tstamp e37c4f85-9df1-4a12-b5a2-6e1545c54125)) + (via (at 157.5 109.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 11) (tstamp 49808b8a-1d1a-4a54-903f-7f0d71fe9893)) + (via (at 165.5 123.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 11) (tstamp f4e9d6c8-9861-4369-99f2-c4b31ee66205)) + (segment (start 158 123.25) (end 165.5 123.25) (width 0.25) (layer "B.Cu") (net 11) (tstamp 4a382d34-ca16-4067-b231-48e1fc6026e7)) + (segment (start 157.5 122.75) (end 158 123.25) (width 0.25) (layer "B.Cu") (net 11) (tstamp 9c0b4440-e997-4e12-ad6f-a1252cad6944)) + (segment (start 157.5 109.25) (end 157.5 122.75) (width 0.25) (layer "B.Cu") (net 11) (tstamp f4515960-1502-44fd-a8af-86d4352272ee)) + (segment (start 163.225 104.124998) (end 167.875002 104.124998) (width 0.25) (layer "F.Cu") (net 12) (tstamp 1dc0336c-4339-4180-a003-21d8f5111f2e)) + (segment (start 167.875002 104.124998) (end 170 102) (width 0.25) (layer "F.Cu") (net 12) (tstamp 6e923e1e-926b-42db-8785-7d88187e73c5)) + (segment (start 170 102) (end 178.5 102) (width 0.25) (layer "F.Cu") (net 12) (tstamp d6a8af84-1866-4b66-8da7-6ce7c58fc6b7)) + (via (at 178.5 102) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 12) (tstamp 498f6c07-1a19-49cd-8db8-2e0ef202ffe3)) + (segment (start 178.5 79.24) (end 178.5 102) (width 0.25) (layer "B.Cu") (net 12) (tstamp 044657f3-dbfe-4b68-a915-4aac2707f53f)) + (segment (start 170 101.25) (end 167.625 103.625) (width 0.25) (layer "F.Cu") (net 13) (tstamp 77d3950d-93f7-44c1-9c4f-289c6f144260)) + (segment (start 167.625 103.625) (end 163.225 103.625) (width 0.25) (layer "F.Cu") (net 13) (tstamp a9c327ae-8f33-4a64-80ca-7deba089c363)) + (segment (start 175.75 101.25) (end 170 101.25) (width 0.25) (layer "F.Cu") (net 13) (tstamp d2ed972e-7eb0-4e33-b45e-25af7faf1753)) + (via (at 175.75 101.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 13) (tstamp 1f08c8dd-a627-4873-bbb2-91f46ed942de)) + (segment (start 175.96 101.04) (end 175.75 101.25) (width 0.25) (layer "B.Cu") (net 13) (tstamp cbd6828d-14a0-4a3d-aae1-9c260abed0d2)) + (segment (start 175.96 79.24) (end 175.96 101.04) (width 0.25) (layer "B.Cu") (net 13) (tstamp ee9d1968-c403-4da5-bb23-2364aa47c4c3)) + (segment (start 170 103.5) (end 168.375001 105.124999) (width 0.25) (layer "F.Cu") (net 14) (tstamp 51e6dc84-da44-424e-bffd-b3f893a4c9e4)) + (segment (start 173.25 103.5) (end 170 103.5) (width 0.25) (layer "F.Cu") (net 14) (tstamp 55553bac-cfc2-4a98-8e1e-b932702a44bd)) + (segment (start 168.375001 105.124999) (end 163.225 105.124999) (width 0.25) (layer "F.Cu") (net 14) (tstamp d437b86d-b27b-4b4d-9841-377532296ddb)) + (via (at 173.25 103.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 14) (tstamp b6172631-8252-4d00-b7a5-81b14ae51b8c)) + (segment (start 173.42 103.33) (end 173.25 103.5) (width 0.25) (layer "B.Cu") (net 14) (tstamp 905c12e5-248f-4e73-8eb1-daaf0606f310)) + (segment (start 173.42 79.24) (end 173.42 103.33) (width 0.25) (layer "B.Cu") (net 14) (tstamp a96f6301-cf76-465f-84f8-23b4c2c4f906)) + (segment (start 168.125 104.625) (end 163.225 104.625) (width 0.25) (layer "F.Cu") (net 15) (tstamp 89152b46-ad53-4848-aa4e-953115946140)) + (segment (start 170.75 102.7755) (end 169.9745 102.7755) (width 0.25) (layer "F.Cu") (net 15) (tstamp b903c4a8-8725-4be1-8386-e4c0c2fa1972)) + (segment (start 169.9745 102.7755) (end 168.125 104.625) (width 0.25) (layer "F.Cu") (net 15) (tstamp be04ba06-5587-4a42-9189-8ca6e8443ece)) + (via (at 170.75 102.7755) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 15) (tstamp 00e43d66-7302-4ce7-95cb-2580532f9081)) + (segment (start 170.88 102.6455) (end 170.75 102.7755) (width 0.25) (layer "B.Cu") (net 15) (tstamp 8b5d26d4-2996-4fae-9727-c78ae1a952ea)) + (segment (start 170.88 79.24) (end 170.88 102.6455) (width 0.25) (layer "B.Cu") (net 15) (tstamp df139962-c9be-4fc7-9c58-cc7d0f10044f)) + (segment (start 167.600403 102.624999) (end 163.225 102.624999) (width 0.25) (layer "F.Cu") (net 16) (tstamp 9a36283d-695e-414e-b9ce-f167618d5f05)) + (segment (start 167.862701 102.362701) (end 167.600403 102.624999) (width 0.25) (layer "F.Cu") (net 16) (tstamp f0a3f969-52a7-44d0-867d-bca28afe1b76)) + (via (at 167.862701 102.362701) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 16) (tstamp 494e545a-4dde-4493-827b-523f663ac6a6)) + (segment (start 168.34 79.24) (end 168.34 101.885402) (width 0.25) (layer "B.Cu") (net 16) (tstamp 304417e0-6953-499b-be78-a50b4cbc2b15)) + (segment (start 168.34 101.885402) (end 167.862701 102.362701) (width 0.25) (layer "B.Cu") (net 16) (tstamp ac3461c6-7765-4d3f-af2c-5373c7cd3fa6)) + (segment (start 165.775499 102.125) (end 163.225 102.125) (width 0.25) (layer "F.Cu") (net 17) (tstamp daf0315f-9c0a-48f6-bf11-be6e81a8cc31)) + (segment (start 166 101.900499) (end 165.775499 102.125) (width 0.25) (layer "F.Cu") (net 17) (tstamp f2834bb0-9be2-46e6-bf33-76cc94c9e37c)) + (via (at 166 101.900499) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 17) (tstamp dd2a9b88-cad2-4bac-a1ac-239b2984bb7d)) + (segment (start 165.8 101.700499) (end 166 101.900499) (width 0.25) (layer "B.Cu") (net 17) (tstamp 14b54c3f-5eed-4b54-9144-60b548ddb06e)) + (segment (start 165.8 79.24) (end 165.8 101.700499) (width 0.25) (layer "B.Cu") (net 17) (tstamp 2f3f5ef3-5b49-48e3-8be6-a435a1a152de)) + (segment (start 164.775502 101.624998) (end 163.225 101.624998) (width 0.25) (layer "F.Cu") (net 18) (tstamp 83e4a6fb-0887-4f20-9cc3-2f94355fe61c)) + (segment (start 165 101.4005) (end 164.775502 101.624998) (width 0.25) (layer "F.Cu") (net 18) (tstamp ecce14a5-f0a4-4888-b20b-59198547019e)) + (via (at 165 101.4005) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 18) (tstamp c70179d2-033f-475e-ba82-d289a7dfb652)) + (segment (start 164.75 81.5) (end 164.75 101.1505) (width 0.25) (layer "B.Cu") (net 18) (tstamp 0b3ff662-6af6-4383-acd4-d4c6e19eb70a)) + (segment (start 163.26 79.24) (end 164.75 80.73) (width 0.25) (layer "B.Cu") (net 18) (tstamp 36a84826-9bd5-410c-ac4f-c970785b9871)) + (segment (start 164.75 80.73) (end 164.75 81.5) (width 0.25) (layer "B.Cu") (net 18) (tstamp cffa9630-181c-4a74-b1d0-81e7fe424375)) + (segment (start 164.75 101.1505) (end 165 101.4005) (width 0.25) (layer "B.Cu") (net 18) (tstamp faca0bae-de02-412b-b1f7-cb471984bc3f)) + (segment (start 159.75 96) (end 159.25 96.5) (width 0.25) (layer "F.Cu") (net 19) (tstamp 884bcf45-74d3-4814-80df-ae429a74fdfa)) + (segment (start 159.25 96.5) (end 159.25 99.15) (width 0.25) (layer "F.Cu") (net 19) (tstamp a51644c1-9579-4d58-9711-92942914a321)) + (via (at 159.75 96) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 19) (tstamp 81a68053-d7b4-491c-bf2f-b2094e61e26a)) + (segment (start 159.75 80.21) (end 159.75 96) (width 0.25) (layer "B.Cu") (net 19) (tstamp 582cad15-1823-423f-9ffb-7a93f3b5e8a1)) + (segment (start 160.72 79.24) (end 159.75 80.21) (width 0.25) (layer "B.Cu") (net 19) (tstamp 6e212472-b015-41db-818f-e4f8e7400726)) + (segment (start 158.75 107.6) (end 158.75 105.75) (width 0.25) (layer "F.Cu") (net 20) (tstamp ba938226-1764-4338-a9ce-98f1addef1e8)) + (via (at 158.75 105.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 20) (tstamp 5b4c8cc2-c293-47e2-9ae9-7e62db2d9d36)) + (segment (start 158.75 81) (end 156.99 79.24) (width 0.25) (layer "B.Cu") (net 20) (tstamp 288dab09-dde9-49ea-b674-6b129258db9c)) + (segment (start 156.99 79.24) (end 156.66 79.24) (width 0.25) (layer "B.Cu") (net 20) (tstamp 7e73e190-f182-4d1a-b586-919e965e8c96)) + (segment (start 158.75 105.75) (end 158.75 81) (width 0.25) (layer "B.Cu") (net 20) (tstamp fa598c60-e03e-44f1-b559-7f06340ce5d3)) + (segment (start 158 106.025305) (end 158 105) (width 0.25) (layer "F.Cu") (net 21) (tstamp 2f634322-d510-4fd9-90df-92539816c59b)) + (segment (start 158.249999 107.6) (end 158.249999 106.275304) (width 0.25) (layer "F.Cu") (net 21) (tstamp f03bcdb5-bf34-4fde-ace2-5dd16f048e95)) + (segment (start 158.249999 106.275304) (end 158 106.025305) (width 0.25) (layer "F.Cu") (net 21) (tstamp f6974cf0-29e8-45bc-be91-52e964017b88)) + (via (at 158 105) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 21) (tstamp 8d579755-545d-469c-8896-90cf464d950c)) + (segment (start 158 105) (end 158 83.12) (width 0.25) (layer "B.Cu") (net 21) (tstamp 036b4cab-4b0e-448d-8422-a055c64511a0)) + (segment (start 158 83.12) (end 154.12 79.24) (width 0.25) (layer "B.Cu") (net 21) (tstamp a4ace6b0-0f47-42f6-b415-18371d62ed46)) + (segment (start 154.775 102.125) (end 147.875 102.125) (width 0.25) (layer "F.Cu") (net 22) (tstamp c576006e-da0e-4c4e-a5ab-046af7022b1f)) + (segment (start 147.875 102.125) (end 147.25 102.75) (width 0.25) (layer "F.Cu") (net 22) (tstamp f8268b2b-2d5c-486c-99c5-2a00419d4daf)) + (segment (start 147.25 102.75) (end 138.75 102.75) (width 0.25) (layer "F.Cu") (net 22) (tstamp fecc95c0-77fc-4d96-a6de-b7566a9159c8)) + (via (at 138.75 102.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 22) (tstamp b2916f57-29d7-4af0-9dd1-1822d173cc0b)) + (segment (start 138.75 102.75) (end 138.88 102.62) (width 0.25) (layer "B.Cu") (net 22) (tstamp 9bb67627-20dd-43db-8b1d-a195654a8f62)) + (segment (start 138.88 102.62) (end 138.88 79.24) (width 0.25) (layer "B.Cu") (net 22) (tstamp ae2790fa-b61c-4144-a1ef-05a9d3466a04)) + (segment (start 155.75 93.25) (end 155.75 91.275) (width 0.25) (layer "F.Cu") (net 23) (tstamp 7aa736f1-032c-4b81-aeb5-2a46e70dc6f5)) + (segment (start 156.749999 94.249999) (end 155.75 93.25) (width 0.25) (layer "F.Cu") (net 23) (tstamp 89d5bc45-f556-4cf7-8764-f517b6411a83)) + (segment (start 156.749999 99.15) (end 156.749999 94.249999) (width 0.25) (layer "F.Cu") (net 23) (tstamp 9146a720-6104-49ee-b6eb-7c988dec2fb0)) + (segment (start 157.249998 99.15) (end 157.249998 94.250002) (width 0.25) (layer "F.Cu") (net 24) (tstamp 02d586d3-31a0-47d3-9b32-52973c57da60)) + (segment (start 157.249998 94.250002) (end 158.25 93.25) (width 0.25) (layer "F.Cu") (net 24) (tstamp 36d57e77-de0d-45f2-a3cb-883ea982b50e)) + (segment (start 158.25 93.25) (end 158.25 91.275) (width 0.25) (layer "F.Cu") (net 24) (tstamp dc7c9c98-3c24-4baf-b6a3-809aeea5f561)) + (segment (start 154.775 101.624998) (end 146.844998 101.624998) (width 0.25) (layer "F.Cu") (net 25) (tstamp 618137e4-8dc3-4489-bf70-414b7dd993d4)) + (segment (start 146.844998 101.624998) (end 146.75 101.53) (width 0.25) (layer "F.Cu") (net 25) (tstamp 64ae46de-7ca0-4b92-a149-328bce79d1db)) + (segment (start 170.425 114.5) (end 169.25 114.5) (width 0.25) (layer "F.Cu") (net 26) (tstamp 1b0182e0-0484-4a50-9adb-294247dd5131)) + (segment (start 169.125 106.125) (end 163.225 106.125) (width 0.25) (layer "F.Cu") (net 26) (tstamp 7baf5496-3bc4-4218-8f90-821d2039e2b2)) + (segment (start 169.25 106.25) (end 169.125 106.125) (width 0.25) (layer "F.Cu") (net 26) (tstamp e3703bd7-dea5-47a5-8d81-ad5e87b18a62)) + (via (at 169.25 106.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 26) (tstamp 3fb6dde0-b9ba-48f8-bb9d-59eda0634c51)) + (via (at 169.25 114.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 26) (tstamp ca56b813-b289-43b9-9f06-a9294d16b15c)) + (segment (start 169.25 114.5) (end 169.25 106.25) (width 0.25) (layer "B.Cu") (net 26) (tstamp 759886e7-6c1b-4eed-9f81-312f934f47ef)) + (segment (start 178.5 121.85) (end 178.5 114.65) (width 0.25) (layer "F.Cu") (net 27) (tstamp 16c88199-d308-414f-b6be-f844d5be9e79)) + (segment (start 172.5 114.5) (end 174 116) (width 0.25) (layer "F.Cu") (net 27) (tstamp 58bf4a7d-85c5-4095-82c0-7f65ee78cab7)) + (segment (start 174 116) (end 177.15 116) (width 0.25) (layer "F.Cu") (net 27) (tstamp 8c1d9258-ad6f-4b89-99ee-4deb4c8f9f17)) + (segment (start 172.075 114.5) (end 172.5 114.5) (width 0.25) (layer "F.Cu") (net 27) (tstamp de8b364b-bfd0-40aa-bef9-72434798d96f)) + (segment (start 177.15 116) (end 178.5 114.65) (width 0.25) (layer "F.Cu") (net 27) (tstamp ebb121cb-aac0-415f-a92a-1f145c0571d6)) + (segment (start 168.849694 105.625001) (end 169.474695 105) (width 0.25) (layer "F.Cu") (net 28) (tstamp 08958fc7-ccc2-4801-9f05-89162809703e)) + (segment (start 169.474695 105) (end 170.425 105) (width 0.25) (layer "F.Cu") (net 28) (tstamp 47382b42-15d3-4844-9eae-23876af31739)) + (segment (start 163.225 105.625001) (end 168.849694 105.625001) (width 0.25) (layer "F.Cu") (net 28) (tstamp 61ac037c-97c0-46b6-9715-86656763e5ac)) + (segment (start 177.15 106.5) (end 178.5 105.15) (width 0.25) (layer "F.Cu") (net 29) (tstamp 66719be1-329e-43a3-b03b-a3c63b980d7c)) + (segment (start 172.5 105) (end 174 106.5) (width 0.25) (layer "F.Cu") (net 29) (tstamp a82b6ae1-5919-4cae-a166-f52166667095)) + (segment (start 172.075 105) (end 172.5 105) (width 0.25) (layer "F.Cu") (net 29) (tstamp ab68dd9c-25f3-4dc5-8e77-f83b518cc903)) + (segment (start 174 106.5) (end 177.15 106.5) (width 0.25) (layer "F.Cu") (net 29) (tstamp d2bbefca-b4d7-4ac9-bd4c-3bb7639a4e49)) + (segment (start 178.5 112.35) (end 178.5 105.15) (width 0.25) (layer "F.Cu") (net 29) (tstamp f59b54c2-118a-4aa9-81c2-efa65f25a3ef)) + (segment (start 140.25 89.25) (end 137.636396 89.25) (width 0.25) (layer "F.Cu") (net 30) (tstamp 126bfdcb-3b83-4711-be6e-3d4e2123e5c4)) + (segment (start 140.25 87.7755) (end 138.9505 87.7755) (width 0.25) (layer "F.Cu") (net 30) (tstamp 454683c5-6cb1-4c9f-a9c3-99914df26252)) + (segment (start 158.249999 96.000001) (end 159.75 94.5) (width 0.25) (layer "F.Cu") (net 30) (tstamp 466d8d43-bea5-4f7c-81db-a13550e418cb)) + (segment (start 134.075 94.575) (end 134 94.5) (width 0.25) (layer "F.Cu") (net 30) (tstamp 545ab6b7-e00c-46c7-a349-1e08c7be0c87)) + (segment (start 158.886396 88) (end 158.25 88) (width 0.25) (layer "F.Cu") (net 30) (tstamp 8207b921-3cd5-43d1-8971-63640a42b7de)) + (segment (start 138.9505 87.7755) (end 138.75 87.575) (width 0.25) (layer "F.Cu") (net 30) (tstamp 882de89c-bb97-4435-bebe-30b0186fb979)) + (segment (start 140.4745 88) (end 140.25 87.7755) (width 0.25) (layer "F.Cu") (net 30) (tstamp 8c73ac48-5181-4ede-9616-82a6b7b39d5e)) + (segment (start 137.636396 89.25) (end 136.568198 90.318198) (width 0.25) (layer "F.Cu") (net 30) (tstamp a3abeb5c-ac10-4a52-a708-f396addb7a6f)) + (segment (start 159.75 89.25) (end 159.75 88.863604) (width 0.25) (layer "F.Cu") (net 30) (tstamp a7f60af1-a421-4ad8-8d16-da4274028579)) + (segment (start 158.25 88) (end 149.5 88) (width 0.25) (layer "F.Cu") (net 30) (tstamp a9674d4c-fc84-48d6-a476-6b8c859e7cf3)) + (segment (start 149.5 88) (end 140.75 88) (width 0.25) (layer "F.Cu") (net 30) (tstamp b5a89ef5-48ae-45c5-8fc2-8d35c0727566)) + (segment (start 140.75 88) (end 140.4745 88) (width 0.25) (layer "F.Cu") (net 30) (tstamp b88f7368-6585-472f-82a8-a0a454209a54)) + (segment (start 136.568198 93.431802) (end 135.425 94.575) (width 0.25) (layer "F.Cu") (net 30) (tstamp bd1a668a-e7ed-4a21-81fa-77e82931a2ee)) + (segment (start 136.568198 90.318198) (end 136.568198 93.431802) (width 0.25) (layer "F.Cu") (net 30) (tstamp dcb610e1-0272-4801-9b52-6eee18e76a71)) + (segment (start 159.75 94.5) (end 159.75 89.25) (width 0.25) (layer "F.Cu") (net 30) (tstamp e096b383-44d8-4bc6-93c6-87f39bee0f04)) + (segment (start 134 94.5) (end 132.5 94.5) (width 0.25) (layer "F.Cu") (net 30) (tstamp e2a13f73-1ec4-41b5-a6c2-899ccb0c515c)) + (segment (start 159.75 88.863604) (end 158.886396 88) (width 0.25) (layer "F.Cu") (net 30) (tstamp e86b9d35-f25f-4144-8802-e0d9a5b3dffa)) + (segment (start 158.249999 99.15) (end 158.249999 96.000001) (width 0.25) (layer "F.Cu") (net 30) (tstamp ed1c222c-0988-4194-994c-e1919905cb83)) + (segment (start 135.425 94.575) (end 134.075 94.575) (width 0.25) (layer "F.Cu") (net 30) (tstamp f51db2c0-f9d7-4566-b447-3c1a09869651)) + (via (at 140.25 89.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30) (tstamp 2ec50f70-7211-407d-af20-5e4ed1a0ebef)) + (via (at 140.25 87.7755) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 30) (tstamp 7c9c6d21-087a-4bda-b30e-750bc83df5e9)) + (segment (start 140.25 87.7755) (end 140.25 89.25) (width 0.25) (layer "B.Cu") (net 30) (tstamp efbecd05-74e3-49d5-a1c6-f63ae401c534)) + (segment (start 132.5 93.865) (end 134.635 93.865) (width 0.25) (layer "F.Cu") (net 31) (tstamp 0c32da3f-0abe-45b6-ae27-62d19f74ec6f)) + (segment (start 134.635 93.865) (end 134.75 93.75) (width 0.25) (layer "F.Cu") (net 31) (tstamp ee507fdb-d29d-4103-9427-c33dfd3d63dc)) + (segment (start 127.3 90.69) (end 128.69 90.69) (width 0.25) (layer "F.Cu") (net 32) (tstamp 008a64a3-226c-482f-abc6-344b5460e2c1)) + (segment (start 138.75 85.925) (end 137.25 85.925) (width 0.25) (layer "F.Cu") (net 32) (tstamp 26efe3e2-3a71-40f0-97d9-36740d48c59d)) + (segment (start 125.665 90.69) (end 125.5 90.525) (width 0.25) (layer "F.Cu") (net 32) (tstamp 2d9afafb-f556-4bd0-b155-2bf92822006f)) + (segment (start 131.23 93.23) (end 132.5 93.23) (width 0.25) (layer "F.Cu") (net 32) (tstamp 75492a4c-d2c4-4c05-be4c-0fc0c39acb39)) + (segment (start 137.25 85.925) (end 131.675 85.925) (width 0.25) (layer "F.Cu") (net 32) (tstamp 79bd42fc-621f-4468-8135-ff61acb8145e)) + (segment (start 127.3 90.69) (end 125.665 90.69) (width 0.25) (layer "F.Cu") (net 32) (tstamp 9b383501-4aa5-453a-ba75-8bae6050bfe0)) + (segment (start 127.3 90.69) (end 127.3 91.325) (width 0.25) (layer "F.Cu") (net 32) (tstamp bcdd9be6-c8e5-453c-b8d5-aaf29f145e9b)) + (segment (start 131.675 85.925) (end 131.25 85.5) (width 0.25) (layer "F.Cu") (net 32) (tstamp c0f4e94d-a5fd-463b-9f3d-95389ac25c31)) + (segment (start 128.69 90.69) (end 131.23 93.23) (width 0.25) (layer "F.Cu") (net 32) (tstamp fdc1ce7d-91e0-40a6-9827-2556e63d270f)) + (via (at 131.25 85.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp 244da191-f457-4f9d-9c4f-b46c2de7e17b)) + (via (at 131.23 93.23) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 32) (tstamp 5059264f-163d-4c63-9c1a-c881a287ec3e)) + (segment (start 131.25 85.5) (end 131.25 93.21) (width 0.25) (layer "B.Cu") (net 32) (tstamp 11425940-d6ae-493b-aace-64765c0ee55b)) + (segment (start 131.25 93.21) (end 131.23 93.23) (width 0.25) (layer "B.Cu") (net 32) (tstamp b2621bc5-d223-46de-a49d-8dabd5d1ccc2)) + (segment (start 137.75 88.5) (end 137.75 88.075) (width 0.25) (layer "F.Cu") (net 33) (tstamp 2dfad3e9-c72a-40ea-a261-3c4981cd2aa9)) + (segment (start 137.75 88.5) (end 136 90.25) (width 0.25) (layer "F.Cu") (net 33) (tstamp 4c9c31d4-2e51-48b3-8cdd-d68e0b416230)) + (segment (start 158.75 88.5) (end 137.75 88.5) (width 0.25) (layer "F.Cu") (net 33) (tstamp 64ab8dcb-d3a8-4425-87a7-277ad9be009d)) + (segment (start 136 90.25) (end 136 91.25) (width 0.25) (layer "F.Cu") (net 33) (tstamp 64f73170-b5a2-4be2-afb6-4c527f21e6f1)) + (segment (start 157.75 99.15) (end 157.75 95.8) (width 0.25) (layer "F.Cu") (net 33) (tstamp 79ee15ba-ca2b-4ebb-9b7c-d250bb0226cc)) + (segment (start 157.75 95.8) (end 159.25 94.3) (width 0.25) (layer "F.Cu") (net 33) (tstamp 8037a341-4c0c-4b65-afe5-70907612f7c0)) + (segment (start 134.655 92.595) (end 132.5 92.595) (width 0.25) (layer "F.Cu") (net 33) (tstamp 94a7776e-decd-4bd1-ae7d-bec1e7c426b1)) + (segment (start 136 91.25) (end 134.655 92.595) (width 0.25) (layer "F.Cu") (net 33) (tstamp 9d211a44-1b4e-4027-981e-27deb9f635b0)) + (segment (start 137.75 88.075) (end 137.25 87.575) (width 0.25) (layer "F.Cu") (net 33) (tstamp 9f3010db-8a1d-41e2-9bcd-e087939c6657)) + (segment (start 159.25 89) (end 158.75 88.5) (width 0.25) (layer "F.Cu") (net 33) (tstamp def501af-b5bb-4cce-a885-30ca637148b9)) + (segment (start 159.25 94.3) (end 159.25 89) (width 0.25) (layer "F.Cu") (net 33) (tstamp f297b80f-af5b-4777-be36-31f32acafa9d)) + (segment (start 134.175 91.325) (end 134.75 90.75) (width 0.25) (layer "F.Cu") (net 34) (tstamp 50a51dff-4562-4bfc-87e4-e4c5f840db68)) + (segment (start 132.5 91.325) (end 134.175 91.325) (width 0.25) (layer "F.Cu") (net 34) (tstamp 6d18d81d-b2a8-4d8d-89c8-68cc83c7ef88)) + (segment (start 132.5 90.69) (end 133.35 90.69) (width 0.25) (layer "F.Cu") (net 35) (tstamp 3dc8160a-dab4-4be6-acea-0e8acb9ae1e3)) + (segment (start 134.75 89.29) (end 134.75 88.75) (width 0.25) (layer "F.Cu") (net 35) (tstamp ba1af9d3-07fe-4860-bb76-e6d2ab7ea0b7)) + (segment (start 133.35 90.69) (end 134.75 89.29) (width 0.25) (layer "F.Cu") (net 35) (tstamp eda15a79-8a8c-42cb-b527-727795bfad72)) + (segment (start 132.475 90.03) (end 132.5 90.055) (width 0.25) (layer "F.Cu") (net 36) (tstamp 48b552df-bd90-4fc6-acb6-246349da8972)) + (segment (start 132.475 88.5275) (end 132.475 90.03) (width 0.25) (layer "F.Cu") (net 36) (tstamp cb113b1f-59b7-4285-9197-c22a14936dcf)) + (segment (start 127.325 90.03) (end 127.3 90.055) (width 0.25) (layer "F.Cu") (net 37) (tstamp 3a130525-d63a-4c7f-926f-b51836c50917)) + (segment (start 127.325 88.5275) (end 127.325 90.03) (width 0.25) (layer "F.Cu") (net 37) (tstamp 87d29789-ab69-463a-b591-dc7c724314f2)) + (segment (start 127.3 93.23) (end 125.27 93.23) (width 0.25) (layer "F.Cu") (net 38) (tstamp 8ce996ed-58a6-4eff-98f6-fb68c40bb87c)) + (segment (start 125.27 93.23) (end 125.25 93.25) (width 0.25) (layer "F.Cu") (net 38) (tstamp b3c5b576-400b-46df-b9cf-322105b1ad4b)) + (segment (start 127.3 93.865) (end 126.635 93.865) (width 0.25) (layer "F.Cu") (net 39) (tstamp 581cb1d4-0cbd-432b-b739-d9176e505b42)) + (segment (start 126.635 93.865) (end 125.25 95.25) (width 0.25) (layer "F.Cu") (net 39) (tstamp ad58069e-f144-4907-b261-e376504c2e30)) + (segment (start 127.3 94.5) (end 127.3 96.2) (width 0.25) (layer "F.Cu") (net 40) (tstamp 181cd0b7-8c47-4f87-9b6e-a4bfc26b28ee)) + (segment (start 127.3 96.2) (end 127.25 96.25) (width 0.25) (layer "F.Cu") (net 40) (tstamp 50724e0a-bca9-4d47-a411-2ff6ce806a82)) + (segment (start 163.85 120.127208) (end 167.277208 116.7) (width 0.25) (layer "F.Cu") (net 41) (tstamp 4410ed1d-c6d0-468a-85d4-9db6af8401f5)) + (segment (start 163.425 122.325) (end 163.85 121.9) (width 0.25) (layer "F.Cu") (net 41) (tstamp 8642d1ac-3294-43b5-9b3a-9e77c888b721)) + (segment (start 167.277208 116.7) (end 170.55 116.7) (width 0.25) (layer "F.Cu") (net 41) (tstamp c705e9fe-64be-4d4b-a9dc-f304980e1e04)) + (segment (start 163.85 121.9) (end 163.85 120.127208) (width 0.25) (layer "F.Cu") (net 41) (tstamp c9b4206d-8f11-42aa-a9d2-0ba9f1caed93)) + (segment (start 161.75 122.325) (end 163.425 122.325) (width 0.25) (layer "F.Cu") (net 41) (tstamp d4a1fafc-e355-435d-9de5-857168300a53)) + (segment (start 171.5 118.25) (end 171.95 117.8) (width 0.25) (layer "F.Cu") (net 42) (tstamp 306f698f-e840-4081-bf6d-13dfe5bfaf78)) + (segment (start 160.3 123.05) (end 163.45 123.05) (width 0.25) (layer "F.Cu") (net 42) (tstamp 377a3744-6d9b-42e4-9842-cb4c1539412d)) + (segment (start 163.45 123.05) (end 164.3 122.2) (width 0.25) (layer "F.Cu") (net 42) (tstamp 4ae3292d-b1ef-4675-a429-9ce3eeb5ba1a)) + (segment (start 160.25 123) (end 160.3 123.05) (width 0.25) (layer "F.Cu") (net 42) (tstamp 6c43d1a5-ed34-4e93-8b28-bea9df50fcca)) + (segment (start 166.363604 118.25) (end 171.5 118.25) (width 0.25) (layer "F.Cu") (net 42) (tstamp 9ceb304c-d65a-4241-9901-616a276c4e5c)) + (segment (start 160.25 122.325) (end 160.25 123) (width 0.25) (layer "F.Cu") (net 42) (tstamp aa7830f1-39ed-4caa-bbef-00273294f5d0)) + (segment (start 164.3 120.313604) (end 166.363604 118.25) (width 0.25) (layer "F.Cu") (net 42) (tstamp b3cee399-4e8f-4e4d-899a-bfc1976355f9)) + (segment (start 171.95 117.8) (end 171.95 116.7) (width 0.25) (layer "F.Cu") (net 42) (tstamp dc7271a7-62ec-4b1d-89c9-0046d53f2bb7)) + (segment (start 164.3 122.2) (end 164.3 120.313604) (width 0.25) (layer "F.Cu") (net 42) (tstamp f6098e3a-6dca-4c1a-afa8-655c644d337f)) + (segment (start 159.925 123.5) (end 163.636396 123.5) (width 0.25) (layer "F.Cu") (net 43) (tstamp 0c1b1097-3797-45a9-a790-02d964c16782)) + (segment (start 165.45 119.8) (end 170.55 119.8) (width 0.25) (layer "F.Cu") (net 43) (tstamp 184cc7da-b4a4-43be-909d-694d1b3be206)) + (segment (start 164.75 120.5) (end 165.45 119.8) (width 0.25) (layer "F.Cu") (net 43) (tstamp 84473189-d014-4e81-a83e-5954986b4481)) + (segment (start 164.75 122.386396) (end 164.75 120.5) (width 0.25) (layer "F.Cu") (net 43) (tstamp abf42930-51ce-42c4-8bb5-b128ea923c33)) + (segment (start 158.75 122.325) (end 159.925 123.5) (width 0.25) (layer "F.Cu") (net 43) (tstamp f05aaca8-bd5d-4966-8cbe-60d305b6e5f0)) + (segment (start 163.636396 123.5) (end 164.75 122.386396) (width 0.25) (layer "F.Cu") (net 43) (tstamp f8059d4b-d202-48fd-9e8c-9a016e4630ec)) + (segment (start 171.5 111.75) (end 171.95 111.3) (width 0.25) (layer "F.Cu") (net 44) (tstamp 7567a310-ee2d-441c-a70e-b680620cfed4)) + (segment (start 171.95 111.3) (end 171.95 110.3) (width 0.25) (layer "F.Cu") (net 44) (tstamp abdd01ef-0484-4c37-9ffe-98e8bd120078)) + (segment (start 168.25 114.5) (end 168.25 112.5) (width 0.25) (layer "F.Cu") (net 44) (tstamp b5b72a3d-79d5-471f-af11-a834b5a84219)) + (segment (start 165.575 116.25) (end 166.5 116.25) (width 0.25) (layer "F.Cu") (net 44) (tstamp b772266b-4161-45a2-8842-d0e48e486c2c)) + (segment (start 169 111.75) (end 171.5 111.75) (width 0.25) (layer "F.Cu") (net 44) (tstamp b8a9468a-c993-4a71-97cc-ba750f0f728c)) + (segment (start 168.25 112.5) (end 169 111.75) (width 0.25) (layer "F.Cu") (net 44) (tstamp eb2bc0d4-976c-496a-9633-ad6a62a45f1d)) + (segment (start 166.5 116.25) (end 168.25 114.5) (width 0.25) (layer "F.Cu") (net 44) (tstamp fc6a554a-f444-4d2c-bab3-2e5451b5227f)) + (segment (start 167.5 111.25) (end 168.45 110.3) (width 0.25) (layer "F.Cu") (net 45) (tstamp 44bd1f54-5715-410a-a762-2ddb8d092e4c)) + (segment (start 165.575 114.75) (end 166.5 114.75) (width 0.25) (layer "F.Cu") (net 45) (tstamp 7c9eded6-a601-43fd-89f4-c2954674205f)) + (segment (start 166.5 114.75) (end 167.5 113.75) (width 0.25) (layer "F.Cu") (net 45) (tstamp 92835759-f23d-40eb-a08b-c6cf3700c5f3)) + (segment (start 168.45 110.3) (end 170.55 110.3) (width 0.25) (layer "F.Cu") (net 45) (tstamp db09be25-2921-474c-b3ea-b485b41d3de7)) + (segment (start 167.5 113.75) (end 167.5 111.25) (width 0.25) (layer "F.Cu") (net 45) (tstamp f6af83d6-013f-45db-b947-a2d629e136d6)) + (segment (start 167 112.5) (end 167 109.5) (width 0.25) (layer "F.Cu") (net 46) (tstamp 0cd02e95-186a-4e36-a53d-ab737251af0d)) + (segment (start 165.575 113.25) (end 166.25 113.25) (width 0.25) (layer "F.Cu") (net 46) (tstamp 2c803936-6433-445f-b328-d20f064d7717)) + (segment (start 171.95 108.3) (end 171.95 107.2) (width 0.25) (layer "F.Cu") (net 46) (tstamp 32fc601b-3587-43e3-b364-c3a4bfda1c25)) + (segment (start 171.5 108.75) (end 171.95 108.3) (width 0.25) (layer "F.Cu") (net 46) (tstamp 37cae8c0-71bc-4af6-be7b-fe29e9d9dbc5)) + (segment (start 167 109.5) (end 167.75 108.75) (width 0.25) (layer "F.Cu") (net 46) (tstamp 549a8b95-acff-4cdc-a7b5-43b2d7f91a4d)) + (segment (start 166.25 113.25) (end 167 112.5) (width 0.25) (layer "F.Cu") (net 46) (tstamp 78e64a3a-3d9d-4b00-b85e-82a95f48e68b)) + (segment (start 167.75 108.75) (end 171.5 108.75) (width 0.25) (layer "F.Cu") (net 46) (tstamp f010cbc4-d613-4769-95f3-7c21f8262e2d)) + (segment (start 158.75 99.15) (end 158.75 100.125) (width 0.25) (layer "F.Cu") (net 48) (tstamp 1d099fff-b9cc-4ceb-94c9-0120f8de138a)) + (segment (start 154 115.75) (end 153.8 115.75) (width 0.25) (layer "F.Cu") (net 48) (tstamp 21307458-dee8-43b3-b33d-cbd3022a8c60)) + (segment (start 158.75 100.125) (end 157.625 101.25) (width 0.25) (layer "F.Cu") (net 48) (tstamp b234915f-b9ad-4d19-b793-1d4855710e16)) + (segment (start 157.625 101.25) (end 156.75 101.25) (width 0.25) (layer "F.Cu") (net 48) (tstamp baf8563e-c19a-4c69-90fa-ec3cfdfb9b91)) + (segment (start 153.65 115.6) (end 125.625 115.6) (width 0.25) (layer "F.Cu") (net 48) (tstamp c8f12dca-6ab8-4604-aca5-46475636619a)) + (segment (start 153.8 115.75) (end 153.65 115.6) (width 0.25) (layer "F.Cu") (net 48) (tstamp fd0edb8c-fdbb-4ef5-b96a-b0eef7594e83)) + (via (at 156.75 101.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 48) (tstamp 15a6b2b1-d15b-4fbb-a696-3ad8cd77c39d)) + (via (at 154 115.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 48) (tstamp 8863c034-d72d-48e3-9936-90257d64916a)) + (segment (start 154 104) (end 156.75 101.25) (width 0.25) (layer "B.Cu") (net 48) (tstamp 09580c52-1b36-4371-907e-f1dbfffa718f)) + (segment (start 154 115.75) (end 154 104) (width 0.25) (layer "B.Cu") (net 48) (tstamp ff3e80e2-b316-4558-b150-ef10bdefb6fb)) + (segment (start 158.25 108.974695) (end 158.25 111) (width 0.25) (layer "F.Cu") (net 49) (tstamp 14c7df85-247e-4fc8-922a-603b06d98f96)) + (segment (start 127.315 114.75) (end 125.625 113.06) (width 0.25) (layer "F.Cu") (net 49) (tstamp 1676f5f5-5aca-48e2-a2f2-2074511b302c)) + (segment (start 157.75 107.6) (end 157.75 108.474695) (width 0.25) (layer "F.Cu") (net 49) (tstamp 246b2442-5dde-4982-966d-ce8af166eb9e)) + (segment (start 157.75 108.474695) (end 158.25 108.974695) (width 0.25) (layer "F.Cu") (net 49) (tstamp 48886c7d-3789-4e0c-8c98-92fc4c8c1bd0)) + (segment (start 145.154696 111.75) (end 142.154696 114.75) (width 0.25) (layer "F.Cu") (net 49) (tstamp 495b396c-ec8f-4ff4-906c-1f3fbc19748c)) + (segment (start 142.154696 114.75) (end 127.315 114.75) (width 0.25) (layer "F.Cu") (net 49) (tstamp 7c80947e-11f8-4257-b0de-f91df89e7c06)) + (segment (start 158.25 111) (end 157.5 111.75) (width 0.25) (layer "F.Cu") (net 49) (tstamp e4f18fac-8ac8-4072-922a-d9a67e9fed39)) + (segment (start 157.5 111.75) (end 145.154696 111.75) (width 0.25) (layer "F.Cu") (net 49) (tstamp e8a39a72-e9d1-49aa-a6b2-290f80f76444)) + (segment (start 127.745 108.4) (end 125.625 110.52) (width 0.25) (layer "F.Cu") (net 50) (tstamp 0f5f93f8-15e5-414a-9174-2b309554f932)) + (segment (start 151.658993 105.124999) (end 148.383992 108.4) (width 0.25) (layer "F.Cu") (net 50) (tstamp b883b7fa-5dc3-4dde-810d-658b8206208f)) + (segment (start 154.775 105.124999) (end 151.658993 105.124999) (width 0.25) (layer "F.Cu") (net 50) (tstamp d04b680f-726d-4798-979b-7d380732c916)) + (segment (start 148.383992 108.4) (end 127.745 108.4) (width 0.25) (layer "F.Cu") (net 50) (tstamp e0c86b8c-7e5e-4450-9c8a-42333244c1d4)) + (segment (start 154.775 104.625) (end 151.522596 104.625) (width 0.25) (layer "F.Cu") (net 51) (tstamp 637f9a9b-fd2b-437a-8d4b-0443958bef7d)) + (segment (start 148.197596 107.95) (end 125.655 107.95) (width 0.25) (layer "F.Cu") (net 51) (tstamp 953fbcff-1487-4ab1-af62-2df8a1502f07)) + (segment (start 151.522596 104.625) (end 148.197596 107.95) (width 0.25) (layer "F.Cu") (net 51) (tstamp ae391d17-4f0b-4159-b12b-2abd03ec2e00)) + (segment (start 125.655 107.95) (end 125.625 107.98) (width 0.25) (layer "F.Cu") (net 51) (tstamp e4a80c46-9511-4d61-a5fa-25dfc42f25c7)) + (segment (start 154.775 104.124998) (end 151.386202 104.124998) (width 0.25) (layer "F.Cu") (net 52) (tstamp 77b882c1-9d97-42c0-8672-f772bd80583d)) + (segment (start 148.0112 107.5) (end 127.685 107.5) (width 0.25) (layer "F.Cu") (net 52) (tstamp 7ba2ebbf-89dc-4618-9692-f7cb4128d722)) + (segment (start 151.386202 104.124998) (end 148.0112 107.5) (width 0.25) (layer "F.Cu") (net 52) (tstamp c23f54c1-a70a-4c5b-859b-6e16d62cacbf)) + (segment (start 127.685 107.5) (end 125.625 105.44) (width 0.25) (layer "F.Cu") (net 52) (tstamp c947fda9-6d77-4fa8-840d-abf9e478d0ee)) + (segment (start 129.725 107) (end 125.625 102.9) (width 0.25) (layer "F.Cu") (net 53) (tstamp 0da1392c-e507-44bb-83e5-f556021bc959)) + (segment (start 154.775 103.625) (end 151.249804 103.625) (width 0.25) (layer "F.Cu") (net 53) (tstamp 4e292944-63a6-4dd7-ac61-5b8563b7fa33)) + (segment (start 147.874804 107) (end 129.725 107) (width 0.25) (layer "F.Cu") (net 53) (tstamp 57c7e252-f5ae-4bde-a711-03b3b35eee64)) + (segment (start 151.249804 103.625) (end 147.874804 107) (width 0.25) (layer "F.Cu") (net 53) (tstamp 7684a7d9-2f8a-4595-9079-2255c55b7814)) + (segment (start 158.95 120.475) (end 158.75 120.675) (width 0.25) (layer "F.Cu") (net 54) (tstamp a7ba07cd-ec01-468a-9f34-4ffb1108410e)) + (segment (start 158.95 118.87) (end 158.95 120.475) (width 0.25) (layer "F.Cu") (net 54) (tstamp d17378ac-f733-4a0a-b7ce-844ef6ab2055)) + (segment (start 160.25 118.87) (end 160.25 120.675) (width 0.25) (layer "F.Cu") (net 55) (tstamp ed885871-a854-4ebd-b5cd-0d8d374418f8)) + (segment (start 161.55 118.87) (end 161.55 120.475) (width 0.25) (layer "F.Cu") (net 56) (tstamp 06904b9c-e335-4721-b835-45f50092233b)) + (segment (start 161.55 120.475) (end 161.75 120.675) (width 0.25) (layer "F.Cu") (net 56) (tstamp 357cdf11-7135-47c6-bde6-fb5742cc1cf2)) + (segment (start 162.2 113.13) (end 163.805 113.13) (width 0.25) (layer "F.Cu") (net 57) (tstamp 4b6cf82b-ee50-46f8-ac26-167bf7af6902)) + (segment (start 163.805 113.13) (end 163.925 113.25) (width 0.25) (layer "F.Cu") (net 57) (tstamp f42bd475-9669-449a-8c00-81e13e89b068)) + (segment (start 161.25 114.75) (end 163.925 114.75) (width 0.25) (layer "F.Cu") (net 58) (tstamp 224e72f0-ba52-4b0c-b2e3-4117e3b10070)) + (segment (start 160.9 113.13) (end 160.9 114.4) (width 0.25) (layer "F.Cu") (net 58) (tstamp f5871660-fce1-461c-b1ff-836692a6edd0)) + (segment (start 160.9 114.4) (end 161.25 114.75) (width 0.25) (layer "F.Cu") (net 58) (tstamp fec629a7-c800-4706-bbec-d7285f388ffa)) + (segment (start 159.6 113.13) (end 159.6 114.6) (width 0.25) (layer "F.Cu") (net 59) (tstamp 36255976-75ac-4830-91df-3ec45c91bac7)) + (segment (start 159.6 114.6) (end 161.25 116.25) (width 0.25) (layer "F.Cu") (net 59) (tstamp b5dc9f3e-2877-42e5-b69a-925141a0c357)) + (segment (start 161.25 116.25) (end 163.925 116.25) (width 0.25) (layer "F.Cu") (net 59) (tstamp d6899321-7738-409a-9562-add5cca4d2d5)) + (segment (start 159.25 107.6) (end 159.25 112.0405) (width 0.25) (layer "F.Cu") (net 60) (tstamp 52d9e9e8-0169-454e-a1f1-3a033c05aea3)) + (segment (start 159.25 112.0405) (end 158.95 112.3405) (width 0.25) (layer "F.Cu") (net 60) (tstamp 5d607784-fa46-4558-849c-69123128b614)) + (segment (start 158.95 112.3405) (end 158.95 113.13) (width 0.25) (layer "F.Cu") (net 60) (tstamp d3a70230-ff8b-47ed-9079-8d6a46605800)) + (segment (start 160.25 112.342389) (end 160.25 113.13) (width 0.25) (layer "F.Cu") (net 61) (tstamp 56b00861-52c9-4d84-89c7-583c26b24212)) + (segment (start 159.749998 111.842387) (end 160.25 112.342389) (width 0.25) (layer "F.Cu") (net 61) (tstamp 62c3facf-ebd7-4bf2-8a64-67dd6678fe41)) + (segment (start 159.749998 107.6) (end 159.749998 111.842387) (width 0.25) (layer "F.Cu") (net 61) (tstamp f8e75b72-ef7a-4c9a-8a6f-09c799bf3346)) + (segment (start 160.25 111.042389) (end 161.55 112.342389) (width 0.25) (layer "F.Cu") (net 62) (tstamp 253a0ea7-326a-4799-91cc-cd21bb23b01f)) + (segment (start 161.55 112.342389) (end 161.55 113.13) (width 0.25) (layer "F.Cu") (net 62) (tstamp abcacc8f-125b-4a20-be10-014167dc60da)) + (segment (start 160.25 107.6) (end 160.25 111.042389) (width 0.25) (layer "F.Cu") (net 62) (tstamp e6632f0d-3cc4-4651-b2c0-df3061539266)) + (segment (start 160.749999 110.517789) (end 160.978355 110.746145) (width 0.25) (layer "F.Cu") (net 63) (tstamp 09aa0af0-4381-4b2c-82be-c97ab63fe81a)) + (segment (start 160.749999 107.6) (end 160.749999 110.517789) (width 0.25) (layer "F.Cu") (net 63) (tstamp 547e6b10-488c-4440-b147-dff19b9c65b9)) + (segment (start 160.9 118.87) (end 160.9 117.35) (width 0.25) (layer "F.Cu") (net 63) (tstamp 92d07ab4-e7ba-41fa-94a0-104432ca1a46)) + (segment (start 160.9 117.35) (end 161 117.25) (width 0.25) (layer "F.Cu") (net 63) (tstamp d76b9439-0615-4a89-997c-cc18cbaca948)) + (via (at 161 117.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 63) (tstamp 91f443d4-aedf-43dd-8186-481793015034)) + (via (at 160.978355 110.746145) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 63) (tstamp b22d8808-f2f3-4af9-9495-42c8fbf3ad78)) + (segment (start 160.978355 117.228355) (end 161 117.25) (width 0.25) (layer "B.Cu") (net 63) (tstamp 50477375-b24d-404e-8237-9ffc71979e7b)) + (segment (start 160.978355 110.746145) (end 160.978355 117.228355) (width 0.25) (layer "B.Cu") (net 63) (tstamp 74863b9e-5d66-45f4-8224-128625ca8c50)) + (segment (start 161.75 99.15) (end 161.75 98) (width 0.25) (layer "F.Cu") (net 65) (tstamp 17306b1e-e3e7-4524-b06e-faa5e345090d)) + (segment (start 161.75 98) (end 162 97.75) (width 0.25) (layer "F.Cu") (net 65) (tstamp 31abe147-6f52-4e95-9d4f-031f080ed43b)) + (segment (start 162 83.25) (end 145 83.25) (width 0.25) (layer "F.Cu") (net 65) (tstamp 5d8eeae5-b6f4-4d6d-a587-715c7ad8865d)) + (segment (start 145 83.25) (end 143.96 82.21) (width 0.25) (layer "F.Cu") (net 65) (tstamp 6618defe-f5f9-45dd-8582-13244e2bd1a8)) + (segment (start 143.96 82.21) (end 143.96 79.24) (width 0.25) (layer "F.Cu") (net 65) (tstamp f516f6c0-ef88-451a-94f4-ca910b6ec7c4)) + (via (at 162 97.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 65) (tstamp 41395851-24f9-4210-abb8-d964f71eef25)) + (via (at 162 83.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 65) (tstamp e8091d0d-41b1-4eca-bb9e-33f4cb4f6eeb)) + (segment (start 162 97.75) (end 162 83.25) (width 0.25) (layer "B.Cu") (net 65) (tstamp 1b2477c3-0d6a-454a-a881-7df497cb1946)) + (segment (start 149 81) (end 149.04 80.96) (width 0.25) (layer "F.Cu") (net 66) (tstamp 589c6219-2e73-42bd-90b5-82d233c5f192)) + (segment (start 161.250001 97.068744) (end 161.2755 97.043245) (width 0.25) (layer "F.Cu") (net 66) (tstamp 78392a0a-fc09-421d-af47-c019bb33d68f)) + (segment (start 149.04 80.96) (end 149.04 79.24) (width 0.25) (layer "F.Cu") (net 66) (tstamp 9996dfc6-fb48-4ff9-8578-c630f8dbd4a0)) + (segment (start 149.75 81.75) (end 149 81) (width 0.25) (layer "F.Cu") (net 66) (tstamp a21aabee-3630-46f9-84b2-e0205ebc02f7)) + (segment (start 161.25 81.75) (end 149.75 81.75) (width 0.25) (layer "F.Cu") (net 66) (tstamp f7cbc8ab-3cc4-4e00-a39d-9c4fa0ffa448)) + (segment (start 161.250001 99.15) (end 161.250001 97.068744) (width 0.25) (layer "F.Cu") (net 66) (tstamp fe1ba1e2-b2c3-432e-9574-5569600fbaec)) + (via (at 161.2755 97.043245) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 66) (tstamp 68ced9ee-4326-43cc-8682-e11c66ff87b9)) + (via (at 161.25 81.75) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 66) (tstamp f431b9db-3eb3-45fd-a115-5b2d101cc33f)) + (segment (start 161.2755 97.043245) (end 161.2755 81.7755) (width 0.25) (layer "B.Cu") (net 66) (tstamp c3f10642-c0dc-4ad1-bfb8-c2ba9dc9c243)) + (segment (start 161.2755 81.7755) (end 161.25 81.75) (width 0.25) (layer "B.Cu") (net 66) (tstamp e02aed26-fb0c-4cf1-a502-65dd05c4abaa)) + (segment (start 160.749999 97.999999) (end 160.25 97.5) (width 0.25) (layer "F.Cu") (net 67) (tstamp 0433cf04-7cc2-4544-8d3c-176ecbdc1c29)) + (segment (start 160.4745 82.5) (end 147.25 82.5) (width 0.25) (layer "F.Cu") (net 67) (tstamp 4653f537-0f78-45ae-bf5c-eab23f7cfb48)) + (segment (start 160.749999 99.15) (end 160.749999 97.999999) (width 0.25) (layer "F.Cu") (net 67) (tstamp 4f52c0ac-04ea-47dd-a688-d6657edc625f)) + (segment (start 160.5 82.5255) (end 160.4745 82.5) (width 0.25) (layer "F.Cu") (net 67) (tstamp 5dc18f9b-d356-476d-97fe-447cdfd05ea0)) + (segment (start 147.25 82.5) (end 146.5 81.75) (width 0.25) (layer "F.Cu") (net 67) (tstamp 68faf2d7-d1b8-461d-bd14-a70a96177209)) + (segment (start 146.5 81.75) (end 146.5 79.24) (width 0.25) (layer "F.Cu") (net 67) (tstamp af6d0a64-00b2-4a69-808c-bd6390e6f4f0)) + (via (at 160.25 97.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 67) (tstamp 7999ae05-e6bd-40a5-a81d-de631c9f2cce)) + (via (at 160.5 82.5255) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 67) (tstamp bca9a790-5bbd-48b1-add8-9be366c9b024)) + (segment (start 160.5 92.5) (end 160.5 82.5255) (width 0.25) (layer "B.Cu") (net 67) (tstamp 16739793-b5f1-4011-82c5-f11330e0c1d6)) + (segment (start 160.25 97.5) (end 160.25 96.75) (width 0.25) (layer "B.Cu") (net 67) (tstamp c3a19781-69ce-4f18-a6ce-923978f2509c)) + (segment (start 160.25 96.75) (end 160.5 96.5) (width 0.25) (layer "B.Cu") (net 67) (tstamp ec5d70b2-9202-4754-911a-1a7f8ea96b99)) + (segment (start 160.5 96.5) (end 160.5 92.5) (width 0.25) (layer "B.Cu") (net 67) (tstamp f8d55af9-69fc-4810-b31b-a3826d5d9c13)) + (segment (start 159.6 117.638126) (end 160.000575 117.237551) (width 0.25) (layer "F.Cu") (net 68) (tstamp 018568b5-7346-476a-86f2-d9931a29ac6e)) + (segment (start 160.25 101) (end 160.5 101.25) (width 0.25) (layer "F.Cu") (net 68) (tstamp 1cde29bf-9417-4c80-aeab-94a5e3fc234b)) + (segment (start 159.6 118.87) (end 159.6 117.638126) (width 0.25) (layer "F.Cu") (net 68) (tstamp 486a9a1f-56ba-4a63-901b-2cd6b97198aa)) + (segment (start 160.25 99.15) (end 160.25 101) (width 0.25) (layer "F.Cu") (net 68) (tstamp 4e19c05e-7fc6-4aee-8aa1-0434ed0ad0ae)) + (via (at 160.000575 117.237551) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 68) (tstamp dcec2131-0452-44bc-84fb-9223fceca746)) + (via (at 160.5 101.25) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 68) (tstamp f62fa5be-61c1-4737-ad00-9c0bed54743a)) + (segment (start 160.000575 101.749425) (end 160.000575 117.237551) (width 0.25) (layer "B.Cu") (net 68) (tstamp 4ff230e9-61c5-41ae-bed8-75702e01bcde)) + (segment (start 160.5 101.25) (end 160.000575 101.749425) (width 0.25) (layer "B.Cu") (net 68) (tstamp 8c27a595-583e-4029-b8e0-05c2263ae29a)) + (segment (start 158.3 118.87) (end 158.3 117.936298) (width 0.25) (layer "F.Cu") (net 69) (tstamp 58100082-4f38-453f-afed-0c311160def2)) + (segment (start 159.749998 99.15) (end 159.749998 100.975404) (width 0.25) (layer "F.Cu") (net 69) (tstamp 587ad10c-1db0-48bf-bf75-b390d4c3143e)) + (segment (start 159.749998 100.975404) (end 159.487687 101.237715) (width 0.25) (layer "F.Cu") (net 69) (tstamp 74e62d53-b91a-4e74-a1f2-4e223ad1eea8)) + (segment (start 158.3 117.936298) (end 158.99168 117.244618) (width 0.25) (layer "F.Cu") (net 69) (tstamp 8d2fe9af-e601-452d-90a3-403d4887937a)) + (via (at 158.99168 117.244618) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 69) (tstamp 75575a69-19b6-4f51-b6c8-daf7115f5c50)) + (via (at 159.487687 101.237715) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 69) (tstamp fd94f326-6ac1-49a6-b8c8-732d97838c59)) + (segment (start 159.5 116.5) (end 158.99168 117.00832) (width 0.25) (layer "B.Cu") (net 69) (tstamp 23387123-50b2-427c-a0d2-e9a6111088aa)) + (segment (start 159.487687 115.737687) (end 159.5 115.75) (width 0.25) (layer "B.Cu") (net 69) (tstamp 47321f1a-e4d3-4d6a-84aa-f8ea14299cf4)) + (segment (start 159.5 115.75) (end 159.5 116.5) (width 0.25) (layer "B.Cu") (net 69) (tstamp 51562845-9708-438f-8af5-813c72ce6abd)) + (segment (start 159.487687 101.237715) (end 159.487687 115.737687) (width 0.25) (layer "B.Cu") (net 69) (tstamp 8ffd821e-b5cd-43ea-afbf-fc0f88d7eef7)) + (segment (start 158.99168 117.00832) (end 158.99168 117.244618) (width 0.25) (layer "B.Cu") (net 69) (tstamp 901f4b57-d89f-4622-92dd-bfa34ea4d6b7)) + (segment (start 121.1818 84) (end 122.025 84.8432) (width 0.2) (layer "F.Cu") (net 70) (tstamp 1aea62da-3f12-44fc-bddc-a18247a4ed15)) + (segment (start 120.240001 84.1) (end 120.340001 84) (width 0.2) (layer "F.Cu") (net 70) (tstamp 30dad05d-6cad-45e1-8b87-9e0ad2f6808b)) + (segment (start 130.124953 85.306753) (end 130.124953 86.874953) (width 0.2) (layer "F.Cu") (net 70) (tstamp 73a1376e-064a-43d2-b363-2d22b0b22177)) + (segment (start 130.875 88.4775) (end 130.825 88.5275) (width 0.2) (layer "F.Cu") (net 70) (tstamp 7abf2696-076b-4acb-ac55-0de7e789b528)) + (segment (start 120.340001 84) (end 121.1818 84) (width 0.2) (layer "F.Cu") (net 70) (tstamp 856e4d37-f85c-43b5-aa49-8bbe5421162c)) + (segment (start 130.124953 86.874953) (end 130.25 87) (width 0.2) (layer "F.Cu") (net 70) (tstamp 90399639-eed0-4b9f-84fe-1a704ed36050)) + (segment (start 125.75 83.475) (end 126.049999 83.774999) (width 0.2) (layer "F.Cu") (net 70) (tstamp 9732574c-dee6-4fa8-8025-0ad1a2f5f653)) + (segment (start 130.875 87) (end 130.875 88.4775) (width 0.2) (layer "F.Cu") (net 70) (tstamp 9f4e01b0-323a-4ae2-a763-ab0c9f106f11)) + (segment (start 126.049999 83.774999) (end 128.593199 83.774999) (width 0.2) (layer "F.Cu") (net 70) (tstamp ae6e8bb7-a393-44a8-a9ad-52c859c4b037)) + (segment (start 122.025 84.8432) (end 122.024999 85.531618) (width 0.2) (layer "F.Cu") (net 70) (tstamp b5e57fab-ed32-4914-9664-86eb6d6f2138)) + (segment (start 130.25 87) (end 130.875 87) (width 0.2) (layer "F.Cu") (net 70) (tstamp d0c86d09-9a55-4cbc-8926-321218489582)) + (segment (start 119.2275 84.1) (end 120.240001 84.1) (width 0.2) (layer "F.Cu") (net 70) (tstamp d10adfb1-434c-456c-9958-e96481aa7004)) + (segment (start 122.024999 85.531618) (end 121.725 85.831617) (width 0.2) (layer "F.Cu") (net 70) (tstamp f43672a0-2189-4998-8860-edb2539d1973)) + (segment (start 128.593199 83.774999) (end 130.124953 85.306753) (width 0.2) (layer "F.Cu") (net 70) (tstamp f838f304-e317-434c-8661-c7e0b4711911)) + (via (at 121.725 85.831617) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp b11df40b-a40b-4bcd-871b-3037fb417847)) + (via (at 125.75 83.475) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp dcb5ca16-5ee8-45ad-a801-61a391c08818)) + (segment (start 122.024999 85.531618) (end 122.024999 84.656801) (width 0.2) (layer "B.Cu") (net 70) (tstamp 439bd5d6-5bca-4cea-9278-f74171d8d88c)) + (segment (start 122.024999 84.656801) (end 122.906801 83.774999) (width 0.2) (layer "B.Cu") (net 70) (tstamp b3130e5c-ff2d-4aa3-bef2-ad2b37a19887)) + (segment (start 121.725 85.831617) (end 122.024999 85.531618) (width 0.2) (layer "B.Cu") (net 70) (tstamp b31d3d02-8cc3-4c82-836b-825a0f652f89)) + (segment (start 122.906801 83.774999) (end 125.450001 83.774999) (width 0.2) (layer "B.Cu") (net 70) (tstamp e166f398-2a2d-494e-a3d0-ec224db91a0e)) + (segment (start 125.450001 83.774999) (end 125.75 83.475) (width 0.2) (layer "B.Cu") (net 70) (tstamp e1738015-8d59-4c29-81e1-2c6293f520a7)) + (segment (start 121.3682 83.55) (end 122.475 84.6568) (width 0.2) (layer "F.Cu") (net 71) (tstamp 02b517b3-04ae-4a15-856d-205ab69b34f4)) + (segment (start 120.340001 83.55) (end 121.3682 83.55) (width 0.2) (layer "F.Cu") (net 71) (tstamp 25945702-9e88-42a7-b9f7-111f9bdb3ac5)) + (segment (start 122.475 84.6568) (end 122.475001 85.531618) (width 0.2) (layer "F.Cu") (net 71) (tstamp 560922b9-bf17-41df-b3c2-c8c93fb93a46)) + (segment (start 126.049999 84.225001) (end 128.406801 84.225001) (width 0.2) (layer "F.Cu") (net 71) (tstamp 5926ef3c-4cce-468d-a041-d51fc3c63b9f)) + (segment (start 120.240001 83.45) (end 120.340001 83.55) (width 0.2) (layer "F.Cu") (net 71) (tstamp 6d375b32-38bb-405e-9852-1cb1e174276e)) + (segment (start 119.2275 83.45) (end 120.240001 83.45) (width 0.2) (layer "F.Cu") (net 71) (tstamp 842ae759-a473-4444-9016-e88d01ded7ff)) + (segment (start 128.925 88.4775) (end 128.975 88.5275) (width 0.2) (layer "F.Cu") (net 71) (tstamp 985e454e-8089-477f-b209-b5f9ed6a0fac)) + (segment (start 128.406801 84.225001) (end 129.674953 85.493153) (width 0.2) (layer "F.Cu") (net 71) (tstamp a25d555d-624b-493b-ae0a-a8f6e5bb2044)) + (segment (start 128.925 87) (end 128.925 88.4775) (width 0.2) (layer "F.Cu") (net 71) (tstamp a9b9ba86-caa7-47d5-86b8-b6e00ee194ea)) + (segment (start 122.475001 85.531618) (end 122.775 85.831617) (width 0.2) (layer "F.Cu") (net 71) (tstamp ae87c9a7-6728-4bb6-83f1-c6ca2e4925f5)) + (segment (start 125.75 84.525) (end 126.049999 84.225001) (width 0.2) (layer "F.Cu") (net 71) (tstamp baa61153-e8a8-4bf0-b737-e970d43400f7)) + (segment (start 129.674953 86.825047) (end 129.5 87) (width 0.2) (layer "F.Cu") (net 71) (tstamp bead586d-acf6-4af4-8b28-72d0cf8cc3aa)) + (segment (start 129.5 87) (end 128.925 87) (width 0.2) (layer "F.Cu") (net 71) (tstamp cbda70a8-1ee5-493f-bcb7-071c2d1f1f1a)) + (segment (start 129.674953 85.493153) (end 129.674953 86.825047) (width 0.2) (layer "F.Cu") (net 71) (tstamp da972400-bf81-4c99-9a9b-7f79699e0859)) + (via (at 122.775 85.831617) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 71) (tstamp 3b7c67e7-13d6-4d44-b76b-7106cde0c460)) + (via (at 125.75 84.525) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 71) (tstamp d8c4985a-a61f-4004-a3eb-51e00a28a31b)) + (segment (start 125.450001 84.225001) (end 125.75 84.525) (width 0.2) (layer "B.Cu") (net 71) (tstamp 396cee50-8365-4c6a-830c-54178fa693d2)) + (segment (start 122.475001 85.531618) (end 122.475001 84.843199) (width 0.2) (layer "B.Cu") (net 71) (tstamp 4f2ec9fe-e5eb-40de-950f-0a5fc18fafec)) + (segment (start 122.775 85.831617) (end 122.475001 85.531618) (width 0.2) (layer "B.Cu") (net 71) (tstamp 8272d4e4-5916-4fdb-9563-73e9c06034ac)) + (segment (start 123.093199 84.225001) (end 125.450001 84.225001) (width 0.2) (layer "B.Cu") (net 71) (tstamp 883290a1-003f-4b81-852c-4ec3c1ee8f29)) + (segment (start 122.475001 84.843199) (end 123.093199 84.225001) (width 0.2) (layer "B.Cu") (net 71) (tstamp e0ae0573-aa85-4290-bd03-fc7643723464)) + (segment (start 152.75 106) (end 153.100401 105.625001) (width 0.25) (layer "F.Cu") (net 72) (tstamp 7a4de017-fc01-475c-8084-7aa2324517ca)) + (segment (start 153.100401 105.625001) (end 154.775 105.625001) (width 0.25) (layer "F.Cu") (net 72) (tstamp af49b117-9a8d-402d-b45f-b4cf44a7cab5)) + (via (at 152.75 106) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 72) (tstamp 01c022b3-05bd-409c-87be-9cb31a1de417)) + (segment (start 153 80.66) (end 151.58 79.24) (width 0.25) (layer "B.Cu") (net 72) (tstamp 14271248-7ea8-40a5-be2e-e369b11d8339)) + (segment (start 153 105.75) (end 153 80.66) (width 0.25) (layer "B.Cu") (net 72) (tstamp 4ad2c71a-e8c1-4419-a616-cc870612fcbd)) + (segment (start 152.75 106) (end 153 105.75) (width 0.25) (layer "B.Cu") (net 72) (tstamp f077b294-9dc5-44cc-82bb-5b0bd4444224)) + (segment (start 119.2275 82.8) (end 120.45 82.8) (width 0.25) (layer "F.Cu") (net 73) (tstamp 81fa1aca-dc8d-4e80-b4d5-6465f4d1b81c)) + (segment (start 120.45 82.8) (end 120.5 82.75) (width 0.25) (layer "F.Cu") (net 73) (tstamp cc8b724f-290a-48e0-95a6-aaee81c7b110)) + (segment (start 163.225 100.625) (end 163.225 99.525) (width 0.25) (layer "F.Cu") (net 74) (tstamp 1e3d2ebf-6c54-4a1b-973c-14645a847d64)) + (segment (start 163.225 99.525) (end 165.25 97.5) (width 0.25) (layer "F.Cu") (net 74) (tstamp c528fd68-9e13-4387-bc75-619a05e17e9c)) + (segment (start 164.2 101.124999) (end 165.25 100.074999) (width 0.25) (layer "F.Cu") (net 75) (tstamp 29ad82fb-d7ea-4526-884b-b85b9c7d55c6)) + (segment (start 163.225 101.124999) (end 164.2 101.124999) (width 0.25) (layer "F.Cu") (net 75) (tstamp 7caac4fe-8f67-4aa0-ac78-8adc68cc0d48)) + (segment (start 165.25 100.074999) (end 165.25 99.5) (width 0.25) (layer "F.Cu") (net 75) (tstamp 9666efc3-467d-4038-8f94-de6ec2ab06b5)) + (segment (start 163.1 107.6) (end 163.25 107.75) (width 0.25) (layer "F.Cu") (net 76) (tstamp 826fc5ad-6f3c-44a0-ac7a-b3ecd6b43a68)) + (segment (start 161.75 107.6) (end 163.1 107.6) (width 0.25) (layer "F.Cu") (net 76) (tstamp ae6c223a-817e-4d85-bcc1-8c39bd3dedea)) + (segment (start 161.250001 108.750001) (end 162 109.5) (width 0.25) (layer "F.Cu") (net 77) (tstamp 3c14d080-bb73-4e8b-8f85-f828038af876)) + (segment (start 161.250001 107.6) (end 161.250001 108.750001) (width 0.25) (layer "F.Cu") (net 77) (tstamp 7841e546-8b62-41b3-a04e-c26e469e123b)) + (segment (start 152.8 95.425) (end 152.975 95.25) (width 0.75) (layer "F.Cu") (net 78) (tstamp 488c4f70-a048-4661-a6f4-686ee86761f6)) + (segment (start 152.8 97) (end 151.075 97) (width 0.75) (layer "F.Cu") (net 78) (tstamp 4c2f2cdc-bd5b-4c8d-9224-7c830d623788)) + (segment (start 152.8 97) (end 152.8 95.425) (width 0.75) (layer "F.Cu") (net 78) (tstamp 615336b0-4272-43ae-97fe-c54928546cd8)) + (segment (start 154.775 98.975) (end 152.8 97) (width 0.25) (layer "F.Cu") (net 78) (tstamp 77208308-ea14-4e67-8631-03101e478889)) + (segment (start 154.775 100.625) (end 154.775 98.975) (width 0.25) (layer "F.Cu") (net 78) (tstamp d37e4b38-c12e-40a3-bb1a-579e4a19125c)) + + (zone (net 5) (net_name "GND") (layer "F.Cu") (tstamp 241cf7ec-5098-422a-85d3-efb0b0646d27) (hatch edge 0.5) + (priority 2) + (connect_pads yes (clearance 0.5)) + (min_thickness 0.25) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (smoothing chamfer) (radius 0.25)) + (polygon + (pts + (xy 115 78.25) + (xy 116.5 76.75) + (xy 179.5 76.75) + (xy 181 78.25) + (xy 181 128.5) + (xy 179.5 130) + (xy 116.5 130) + (xy 115 128.5) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 179.273994 76.759938) + (xy 179.652783 76.916838) + (xy 179.693009 76.943717) + (xy 180.806281 78.056988) + (xy 180.833161 78.097216) + (xy 180.990061 78.476005) + (xy 180.9995 78.523458) + (xy 180.9995 128.226542) + (xy 180.990061 128.273995) + (xy 180.833161 128.652782) + (xy 180.806281 128.69301) + (xy 179.693009 129.806281) + (xy 179.652781 129.833161) + (xy 179.273995 129.990061) + (xy 179.226542 129.9995) + (xy 159.706139 129.9995) + (xy 159.645111 129.983443) + (xy 159.599889 129.939429) + (xy 159.582185 129.878859) + (xy 159.596583 129.817419) + (xy 159.639355 129.771021) + (xy 159.66504 129.754603) + (xy 159.68015 129.744944) + (xy 159.682951 129.743207) + (xy 159.718472 129.721835) + (xy 159.752948 129.701093) + (xy 159.752949 129.701091) + (xy 159.752954 129.701089) + (xy 159.753085 129.700964) + (xy 159.771587 129.686499) + (xy 159.771744 129.6864) + (xy 159.829538 129.628604) + (xy 159.831869 129.626335) + (xy 159.891207 129.570129) + (xy 159.891311 129.569974) + (xy 159.906263 129.551879) + (xy 160.617206 128.840936) + (xy 160.662477 128.812096) + (xy 160.715695 128.805091) + (xy 160.72 128.805468) + (xy 160.720002 128.805467) + (xy 160.720004 128.805468) + (xy 160.850051 128.79409) + (xy 160.946692 128.785635) + (xy 161.166496 128.726739) + (xy 161.372734 128.630568) + (xy 161.559139 128.500047) + (xy 161.720047 128.339139) + (xy 161.850568 128.152734) + (xy 161.946739 127.946496) + (xy 162.005635 127.726692) + (xy 162.025468 127.5) + (xy 162.005635 127.273308) + (xy 161.946739 127.053504) + (xy 161.945992 127.051902) + (xy 161.945251 127.047951) + (xy 161.943931 127.043025) + (xy 161.944308 127.042923) + (xy 161.934642 126.991388) + (xy 161.953796 126.932873) + (xy 161.998734 126.890785) + (xy 162.058376 126.8755) + (xy 164.239548 126.8755) + (xy 164.287001 126.884939) + (xy 164.327229 126.911819) + (xy 164.500586 127.085177) + (xy 164.53268 127.140764) + (xy 164.53268 127.20495) + (xy 164.514364 127.273307) + (xy 164.494531 127.5) + (xy 164.514364 127.726689) + (xy 164.573261 127.946497) + (xy 164.669432 128.152735) + (xy 164.799953 128.33914) + (xy 164.960859 128.500046) + (xy 165.147264 128.630567) + (xy 165.147265 128.630567) + (xy 165.147266 128.630568) + (xy 165.353504 128.726739) + (xy 165.573308 128.785635) + (xy 165.8 128.805468) + (xy 166.026692 128.785635) + (xy 166.246496 128.726739) + (xy 166.452734 128.630568) + (xy 166.639139 128.500047) + (xy 166.800047 128.339139) + (xy 166.930568 128.152734) + (xy 166.957618 128.094724) + (xy 167.003375 128.042549) + (xy 167.07 128.023129) + (xy 167.136625 128.042549) + (xy 167.182382 128.094725) + (xy 167.209431 128.152733) + (xy 167.339953 128.33914) + (xy 167.500859 128.500046) + (xy 167.687264 128.630567) + (xy 167.687265 128.630567) + (xy 167.687266 128.630568) + (xy 167.893504 128.726739) + (xy 168.113308 128.785635) + (xy 168.34 128.805468) + (xy 168.566692 128.785635) + (xy 168.786496 128.726739) + (xy 168.992734 128.630568) + (xy 169.179139 128.500047) + (xy 169.340047 128.339139) + (xy 169.470568 128.152734) + (xy 169.497618 128.094724) + (xy 169.543375 128.042549) + (xy 169.61 128.023129) + (xy 169.676625 128.042549) + (xy 169.722382 128.094725) + (xy 169.749431 128.152733) + (xy 169.879953 128.33914) + (xy 170.040859 128.500046) + (xy 170.227264 128.630567) + (xy 170.227265 128.630567) + (xy 170.227266 128.630568) + (xy 170.433504 128.726739) + (xy 170.653308 128.785635) + (xy 170.88 128.805468) + (xy 171.106692 128.785635) + (xy 171.326496 128.726739) + (xy 171.532734 128.630568) + (xy 171.719139 128.500047) + (xy 171.880047 128.339139) + (xy 172.010568 128.152734) + (xy 172.037618 128.094724) + (xy 172.083375 128.042549) + (xy 172.15 128.023129) + (xy 172.216625 128.042549) + (xy 172.262382 128.094725) + (xy 172.289431 128.152733) + (xy 172.419953 128.33914) + (xy 172.580859 128.500046) + (xy 172.767264 128.630567) + (xy 172.767265 128.630567) + (xy 172.767266 128.630568) + (xy 172.973504 128.726739) + (xy 173.193308 128.785635) + (xy 173.42 128.805468) + (xy 173.646692 128.785635) + (xy 173.866496 128.726739) + (xy 174.072734 128.630568) + (xy 174.259139 128.500047) + (xy 174.420047 128.339139) + (xy 174.550568 128.152734) + (xy 174.577618 128.094724) + (xy 174.623375 128.042549) + (xy 174.69 128.023129) + (xy 174.756625 128.042549) + (xy 174.802382 128.094725) + (xy 174.829431 128.152733) + (xy 174.959953 128.33914) + (xy 175.120859 128.500046) + (xy 175.307264 128.630567) + (xy 175.307265 128.630567) + (xy 175.307266 128.630568) + (xy 175.513504 128.726739) + (xy 175.733308 128.785635) + (xy 175.96 128.805468) + (xy 176.186692 128.785635) + (xy 176.406496 128.726739) + (xy 176.612734 128.630568) + (xy 176.799139 128.500047) + (xy 176.960047 128.339139) + (xy 177.090568 128.152734) + (xy 177.117618 128.094724) + (xy 177.163375 128.042549) + (xy 177.23 128.023129) + (xy 177.296625 128.042549) + (xy 177.342382 128.094725) + (xy 177.369431 128.152733) + (xy 177.499953 128.33914) + (xy 177.660859 128.500046) + (xy 177.847264 128.630567) + (xy 177.847265 128.630567) + (xy 177.847266 128.630568) + (xy 178.053504 128.726739) + (xy 178.273308 128.785635) + (xy 178.5 128.805468) + (xy 178.726692 128.785635) + (xy 178.946496 128.726739) + (xy 179.152734 128.630568) + (xy 179.339139 128.500047) + (xy 179.500047 128.339139) + (xy 179.630568 128.152734) + (xy 179.726739 127.946496) + (xy 179.785635 127.726692) + (xy 179.805468 127.5) + (xy 179.785635 127.273308) + (xy 179.726739 127.053504) + (xy 179.630568 126.847266) + (xy 179.562112 126.7495) + (xy 179.500046 126.660859) + (xy 179.33914 126.499953) + (xy 179.152735 126.369432) + (xy 178.946497 126.273261) + (xy 178.726689 126.214364) + (xy 178.5 126.194531) + (xy 178.273307 126.214364) + (xy 178.20495 126.23268) + (xy 178.140764 126.23268) + (xy 178.085177 126.200586) + (xy 174.750802 122.866211) + (xy 174.737906 122.850113) + (xy 174.686775 122.802098) + (xy 174.683978 122.799387) + (xy 174.66447 122.779879) + (xy 174.66129 122.777412) + (xy 174.652424 122.769839) + (xy 174.620582 122.739938) + (xy 174.603024 122.730285) + (xy 174.586764 122.719604) + (xy 174.570936 122.707327) + (xy 174.530851 122.68998) + (xy 174.520361 122.684841) + (xy 174.482091 122.663802) + (xy 174.462691 122.658821) + (xy 174.444284 122.652519) + (xy 174.425897 122.644562) + (xy 174.382758 122.637729) + (xy 174.371324 122.635361) + (xy 174.329019 122.6245) + (xy 174.308984 122.6245) + (xy 174.289586 122.622973) + (xy 174.282162 122.621797) + (xy 174.269805 122.61984) + (xy 174.269804 122.61984) + (xy 174.236751 122.622964) + (xy 174.226325 122.62395) + (xy 174.214656 122.6245) + (xy 168.220592 122.6245) + (xy 168.162377 122.609985) + (xy 168.117791 122.56984) + (xy 168.097271 122.513461) + (xy 168.105621 122.454049) + (xy 168.140887 122.40551) + (xy 168.194811 122.37921) + (xy 168.256006 122.366202) + (xy 168.279803 122.361144) + (xy 168.45273 122.284151) + (xy 168.605871 122.172888) + (xy 168.611598 122.166526) + (xy 168.653312 122.13622) + (xy 168.703747 122.1255) + (xy 171.167256 122.1255) + (xy 171.187762 122.127764) + (xy 171.190665 122.127672) + (xy 171.190667 122.127673) + (xy 171.257872 122.125561) + (xy 171.261768 122.1255) + (xy 171.289349 122.1255) + (xy 171.28935 122.1255) + (xy 171.293319 122.124998) + (xy 171.304965 122.12408) + (xy 171.348627 122.122709) + (xy 171.367859 122.11712) + (xy 171.386918 122.113174) + (xy 171.393196 122.112381) + (xy 171.406792 122.110664) + (xy 171.447407 122.094582) + (xy 171.458444 122.090803) + (xy 171.50039 122.078618) + (xy 171.517629 122.068422) + (xy 171.535102 122.059862) + (xy 171.553732 122.052486) + (xy 171.589064 122.026814) + (xy 171.59883 122.0204) + (xy 171.636418 121.998171) + (xy 171.636417 121.998171) + (xy 171.63642 121.99817) + (xy 171.650585 121.984004) + (xy 171.665373 121.971373) + (xy 171.681587 121.959594) + (xy 171.709438 121.925926) + (xy 171.717279 121.917309) + (xy 172.333788 121.300801) + (xy 172.349885 121.287906) + (xy 172.351873 121.285787) + (xy 172.351877 121.285786) + (xy 172.397948 121.236723) + (xy 172.400566 121.234023) + (xy 172.42012 121.214471) + (xy 172.422581 121.211298) + (xy 172.430156 121.202427) + (xy 172.460062 121.170582) + (xy 172.469717 121.153018) + (xy 172.480394 121.136764) + (xy 172.492673 121.120936) + (xy 172.508497 121.084365) + (xy 172.536902 121.043704) + (xy 172.578965 121.017429) + (xy 172.642331 120.993796) + (xy 172.757546 120.907546) + (xy 172.843796 120.792331) + (xy 172.894091 120.657483) + (xy 172.9005 120.597873) + (xy 172.900499 119.002128) + (xy 172.894091 118.942517) + (xy 172.843796 118.807669) + (xy 172.757546 118.692454) + (xy 172.642331 118.606204) + (xy 172.507483 118.555909) + (xy 172.447873 118.5495) + (xy 172.447869 118.5495) + (xy 172.384451 118.5495) + (xy 172.328156 118.535985) + (xy 172.284133 118.498386) + (xy 172.261978 118.444899) + (xy 172.26652 118.387183) + (xy 172.296769 118.33782) + (xy 172.333785 118.300803) + (xy 172.349881 118.28791) + (xy 172.351873 118.285788) + (xy 172.351877 118.285786) + (xy 172.397948 118.236723) + (xy 172.400566 118.234023) + (xy 172.42012 118.214471) + (xy 172.422581 118.211298) + (xy 172.430156 118.202427) + (xy 172.460062 118.170582) + (xy 172.469717 118.153018) + (xy 172.480394 118.136764) + (xy 172.492673 118.120936) + (xy 172.510018 118.080852) + (xy 172.51516 118.070356) + (xy 172.518264 118.06471) + (xy 172.536197 118.032092) + (xy 172.541179 118.012684) + (xy 172.547483 117.994275) + (xy 172.555437 117.975896) + (xy 172.555437 117.975893) + (xy 172.560101 117.965117) + (xy 172.588504 117.924457) + (xy 172.630566 117.898183) + (xy 172.642331 117.893796) + (xy 172.757546 117.807546) + (xy 172.843796 117.692331) + (xy 172.894091 117.557483) + (xy 172.9005 117.497873) + (xy 172.900499 116.084451) + (xy 172.914014 116.028157) + (xy 172.951614 115.984134) + (xy 173.005101 115.961979) + (xy 173.062817 115.966521) + (xy 173.11218 115.996771) + (xy 173.499196 116.383787) + (xy 173.512096 116.399888) + (xy 173.563223 116.4479) + (xy 173.56602 116.450611) + (xy 173.585529 116.47012) + (xy 173.588711 116.472588) + (xy 173.597571 116.480155) + (xy 173.629418 116.510062) + (xy 173.64697 116.519711) + (xy 173.663238 116.530397) + (xy 173.679064 116.542673) + (xy 173.719146 116.560017) + (xy 173.729633 116.565155) + (xy 173.767907 116.586197) + (xy 173.77641 116.588379) + (xy 173.787308 116.591178) + (xy 173.805713 116.597478) + (xy 173.824104 116.605437) + (xy 173.86725 116.61227) + (xy 173.878668 116.614635) + (xy 173.920981 116.6255) + (xy 173.941016 116.6255) + (xy 173.960415 116.627027) + (xy 173.980196 116.63016) + (xy 174.023674 116.62605) + (xy 174.035344 116.6255) + (xy 177.067256 116.6255) + (xy 177.087762 116.627764) + (xy 177.090665 116.627672) + (xy 177.090667 116.627673) + (xy 177.157872 116.625561) + (xy 177.161768 116.6255) + (xy 177.189349 116.6255) + (xy 177.18935 116.6255) + (xy 177.193319 116.624998) + (xy 177.204965 116.62408) + (xy 177.248627 116.622709) + (xy 177.267859 116.61712) + (xy 177.286918 116.613174) + (xy 177.294099 116.612267) + (xy 177.306792 116.610664) + (xy 177.347407 116.594582) + (xy 177.358444 116.590803) + (xy 177.40039 116.578618) + (xy 177.417629 116.568422) + (xy 177.435102 116.559862) + (xy 177.453732 116.552486) + (xy 177.489064 116.526814) + (xy 177.49883 116.5204) + (xy 177.536418 116.498171) + (xy 177.536417 116.498171) + (xy 177.53642 116.49817) + (xy 177.550585 116.484004) + (xy 177.565373 116.471373) + (xy 177.581587 116.459594) + (xy 177.609438 116.425926) + (xy 177.61728 116.417308) + (xy 177.66282 116.371768) + (xy 177.712183 116.34152) + (xy 177.769899 116.336978) + (xy 177.823386 116.359133) + (xy 177.860985 116.403156) + (xy 177.8745 116.459451) + (xy 177.8745 120.425501) + (xy 177.857887 120.487501) + (xy 177.8125 120.532888) + (xy 177.758578 120.547336) + (xy 177.758735 120.548791) + (xy 177.75213 120.549501) + (xy 177.752128 120.549501) + (xy 177.724345 120.552487) + (xy 177.692515 120.555909) + (xy 177.557669 120.606204) + (xy 177.442454 120.692454) + (xy 177.356204 120.807668) + (xy 177.305909 120.942516) + (xy 177.2995 121.00213) + (xy 177.2995 122.697869) + (xy 177.305909 122.757484) + (xy 177.321538 122.799387) + (xy 177.356204 122.892331) + (xy 177.442454 123.007546) + (xy 177.557669 123.093796) + (xy 177.692517 123.144091) + (xy 177.752127 123.1505) + (xy 179.247872 123.150499) + (xy 179.307483 123.144091) + (xy 179.442331 123.093796) + (xy 179.557546 123.007546) + (xy 179.643796 122.892331) + (xy 179.694091 122.757483) + (xy 179.7005 122.697873) + (xy 179.700499 121.002128) + (xy 179.694091 120.942517) + (xy 179.643796 120.807669) + (xy 179.557546 120.692454) + (xy 179.442331 120.606204) + (xy 179.307483 120.555909) + (xy 179.247873 120.5495) + (xy 179.247869 120.5495) + (xy 179.241265 120.54879) + (xy 179.241421 120.547335) + (xy 179.1875 120.532887) + (xy 179.142113 120.4875) + (xy 179.1255 120.4255) + (xy 179.1255 116.074499) + (xy 179.142113 116.012499) + (xy 179.1875 115.967112) + (xy 179.241421 115.952663) + (xy 179.241265 115.951209) + (xy 179.247869 115.950499) + (xy 179.247872 115.950499) + (xy 179.307483 115.944091) + (xy 179.442331 115.893796) + (xy 179.557546 115.807546) + (xy 179.643796 115.692331) + (xy 179.694091 115.557483) + (xy 179.7005 115.497873) + (xy 179.700499 113.802128) + (xy 179.694091 113.742517) + (xy 179.643796 113.607669) + (xy 179.618822 113.574309) + (xy 179.596924 113.526356) + (xy 179.596924 113.473639) + (xy 179.618823 113.425689) + (xy 179.643796 113.392331) + (xy 179.694091 113.257483) + (xy 179.7005 113.197873) + (xy 179.700499 111.502128) + (xy 179.694091 111.442517) + (xy 179.643796 111.307669) + (xy 179.557546 111.192454) + (xy 179.442331 111.106204) + (xy 179.307483 111.055909) + (xy 179.247873 111.0495) + (xy 179.247869 111.0495) + (xy 179.241265 111.04879) + (xy 179.241421 111.047335) + (xy 179.1875 111.032887) + (xy 179.142113 110.9875) + (xy 179.1255 110.9255) + (xy 179.1255 106.574499) + (xy 179.142113 106.512499) + (xy 179.1875 106.467112) + (xy 179.241421 106.452663) + (xy 179.241265 106.451209) + (xy 179.247869 106.450499) + (xy 179.247872 106.450499) + (xy 179.307483 106.444091) + (xy 179.442331 106.393796) + (xy 179.557546 106.307546) + (xy 179.643796 106.192331) + (xy 179.694091 106.057483) + (xy 179.7005 105.997873) + (xy 179.700499 104.302128) + (xy 179.694091 104.242517) + (xy 179.643796 104.107669) + (xy 179.557546 103.992454) + (xy 179.442331 103.906204) + (xy 179.307483 103.855909) + (xy 179.247873 103.8495) + (xy 179.247869 103.8495) + (xy 177.75213 103.8495) + (xy 177.692515 103.855909) + (xy 177.557669 103.906204) + (xy 177.442454 103.992454) + (xy 177.356204 104.107668) + (xy 177.305909 104.242516) + (xy 177.2995 104.302131) + (xy 177.2995 105.414546) + (xy 177.290061 105.461999) + (xy 177.263181 105.502227) + (xy 176.927228 105.838181) + (xy 176.887 105.865061) + (xy 176.839547 105.8745) + (xy 174.310453 105.8745) + (xy 174.263 105.865061) + (xy 174.222772 105.838181) + (xy 173.000802 104.616211) + (xy 172.987903 104.60011) + (xy 172.987901 104.600108) + (xy 172.987899 104.600104) + (xy 172.983783 104.594967) + (xy 172.97739 104.584892) + (xy 172.97813 104.584422) + (xy 172.954231 104.546052) + (xy 172.951833 104.482413) + (xy 172.981339 104.425977) + (xy 173.034967 104.391629) + (xy 173.098569 104.38843) + (xy 173.155354 104.4005) + (xy 173.344646 104.4005) + (xy 173.344648 104.4005) + (xy 173.470548 104.373739) + (xy 173.529803 104.361144) + (xy 173.70273 104.284151) + (xy 173.79674 104.215849) + (xy 173.85587 104.172889) + (xy 173.886515 104.138855) + (xy 173.982533 104.032216) + (xy 174.077179 103.868284) + (xy 174.135674 103.688256) + (xy 174.15546 103.5) + (xy 174.135674 103.311744) + (xy 174.078379 103.135408) + (xy 174.077179 103.131715) + (xy 173.982533 102.967783) + (xy 173.860698 102.832472) + (xy 173.833416 102.782846) + (xy 173.831044 102.726265) + (xy 173.854078 102.67453) + (xy 173.897713 102.638432) + (xy 173.952848 102.6255) + (xy 177.796253 102.6255) + (xy 177.846688 102.63622) + (xy 177.888401 102.666526) + (xy 177.894129 102.672888) + (xy 178.04727 102.784151) + (xy 178.047271 102.784151) + (xy 178.047272 102.784152) + (xy 178.220197 102.861144) + (xy 178.405352 102.9005) + (xy 178.405354 102.9005) + (xy 178.594646 102.9005) + (xy 178.594648 102.9005) + (xy 178.723286 102.873157) + (xy 178.779803 102.861144) + (xy 178.95273 102.784151) + (xy 178.981056 102.763571) + (xy 179.10587 102.672889) + (xy 179.111598 102.666528) + (xy 179.232533 102.532216) + (xy 179.327179 102.368284) + (xy 179.385674 102.188256) + (xy 179.40546 102) + (xy 179.385674 101.811744) + (xy 179.340085 101.671437) + (xy 179.327179 101.631715) + (xy 179.232533 101.467783) + (xy 179.10587 101.32711) + (xy 178.95273 101.215848) + (xy 178.779802 101.138855) + (xy 178.594648 101.0995) + (xy 178.594646 101.0995) + (xy 178.405354 101.0995) + (xy 178.405352 101.0995) + (xy 178.220197 101.138855) + (xy 178.047272 101.215847) + (xy 177.945175 101.290024) + (xy 177.894129 101.327112) + (xy 177.888401 101.333473) + (xy 177.846688 101.36378) + (xy 177.796253 101.3745) + (xy 176.78009 101.3745) + (xy 176.714349 101.355639) + (xy 176.668607 101.304792) + (xy 176.661182 101.262502) + (xy 176.656822 101.262961) + (xy 176.653107 101.227611) + (xy 176.635674 101.061744) + (xy 176.577179 100.881716) + (xy 176.577179 100.881715) + (xy 176.482533 100.717783) + (xy 176.35587 100.57711) + (xy 176.20273 100.465848) + (xy 176.029802 100.388855) + (xy 175.844648 100.3495) + (xy 175.844646 100.3495) + (xy 175.655354 100.3495) + (xy 175.655352 100.3495) + (xy 175.470197 100.388855) + (xy 175.297272 100.465847) + (xy 175.228715 100.515657) + (xy 175.144129 100.577112) + (xy 175.138401 100.583473) + (xy 175.096688 100.61378) + (xy 175.046253 100.6245) + (xy 170.08274 100.6245) + (xy 170.062236 100.622236) + (xy 169.992144 100.624439) + (xy 169.98825 100.6245) + (xy 169.960648 100.6245) + (xy 169.956653 100.625004) + (xy 169.945029 100.625918) + (xy 169.901368 100.62729) + (xy 169.882128 100.63288) + (xy 169.863081 100.636825) + (xy 169.843209 100.639335) + (xy 169.802599 100.655413) + (xy 169.791554 100.659194) + (xy 169.749611 100.67138) + (xy 169.732369 100.681578) + (xy 169.714897 100.690138) + (xy 169.696266 100.697514) + (xy 169.660938 100.723181) + (xy 169.65118 100.729591) + (xy 169.613579 100.751829) + (xy 169.59941 100.765998) + (xy 169.584622 100.778628) + (xy 169.568413 100.790405) + (xy 169.540572 100.824058) + (xy 169.532711 100.832696) + (xy 168.659055 101.706352) + (xy 168.601372 101.738988) + (xy 168.53512 101.737253) + (xy 168.479224 101.701643) + (xy 168.468571 101.689811) + (xy 168.315431 101.578549) + (xy 168.142503 101.501556) + (xy 167.957349 101.462201) + (xy 167.957347 101.462201) + (xy 167.768055 101.462201) + (xy 167.768053 101.462201) + (xy 167.582898 101.501556) + (xy 167.40997 101.578549) + (xy 167.25683 101.689811) + (xy 167.130165 101.830487) + (xy 167.124654 101.840032) + (xy 167.081501 101.884095) + (xy 167.022458 101.901918) + (xy 166.962132 101.889094) + (xy 166.915446 101.848794) + (xy 166.89395 101.790991) + (xy 166.885674 101.712243) + (xy 166.827179 101.532215) + (xy 166.827179 101.532214) + (xy 166.732533 101.368282) + (xy 166.60587 101.227609) + (xy 166.45273 101.116347) + (xy 166.279802 101.039354) + (xy 166.094648 100.999999) + (xy 166.094646 100.999999) + (xy 165.905354 100.999999) + (xy 165.892322 100.999999) + (xy 165.892322 100.99959) + (xy 165.855712 100.999588) + (xy 165.80861 100.978615) + (xy 165.774111 100.940299) + (xy 165.732533 100.868283) + (xy 165.625908 100.749865) + (xy 165.597236 100.694787) + (xy 165.598862 100.632714) + (xy 165.630377 100.579212) + (xy 165.633786 100.575803) + (xy 165.649881 100.562908) + (xy 165.651871 100.560788) + (xy 165.651877 100.560785) + (xy 165.697948 100.511722) + (xy 165.700566 100.509022) + (xy 165.72012 100.48947) + (xy 165.722581 100.486297) + (xy 165.730156 100.477426) + (xy 165.760062 100.445581) + (xy 165.769717 100.428017) + (xy 165.780394 100.411763) + (xy 165.792673 100.395935) + (xy 165.810025 100.355834) + (xy 165.815148 100.345377) + (xy 165.818551 100.339185) + (xy 165.848544 100.303076) + (xy 165.960883 100.210883) + (xy 166.08591 100.058538) + (xy 166.178814 99.884727) + (xy 166.236024 99.696132) + (xy 166.255341 99.5) + (xy 166.236024 99.303868) + (xy 166.178814 99.115273) + (xy 166.08591 98.941462) + (xy 165.960883 98.789117) + (xy 165.817286 98.671269) + (xy 165.808537 98.664089) + (xy 165.747011 98.631203) + (xy 165.70614 98.609357) + (xy 165.658236 98.563749) + (xy 165.640594 98.5) + (xy 165.658236 98.436251) + (xy 165.70614 98.390642) + (xy 165.808538 98.33591) + (xy 165.960883 98.210883) + (xy 166.08591 98.058538) + (xy 166.178814 97.884727) + (xy 166.236024 97.696132) + (xy 166.255341 97.5) + (xy 166.236024 97.303868) + (xy 166.178814 97.115273) + (xy 166.08591 96.941462) + (xy 165.960883 96.789117) + (xy 165.808538 96.66409) + (xy 165.7135 96.613291) + (xy 165.634726 96.571185) + (xy 165.446133 96.513976) + (xy 165.25 96.494659) + (xy 165.053866 96.513976) + (xy 164.865273 96.571185) + (xy 164.691463 96.664089) + (xy 164.539117 96.789117) + (xy 164.414089 96.941463) + (xy 164.321185 97.115273) + (xy 164.263976 97.303866) + (xy 164.244659 97.5) + (xy 164.249736 97.55156) + (xy 164.243084 97.605487) + (xy 164.214014 97.651393) + (xy 162.841208 99.024199) + (xy 162.82511 99.037096) + (xy 162.777096 99.088225) + (xy 162.774391 99.091017) + (xy 162.754874 99.110534) + (xy 162.752415 99.113705) + (xy 162.744842 99.122572) + (xy 162.714935 99.15442) + (xy 162.705285 99.171974) + (xy 162.694609 99.188228) + (xy 162.682326 99.204063) + (xy 162.664975 99.244158) + (xy 162.659838 99.254644) + (xy 162.633162 99.303169) + (xy 162.588291 99.349766) + (xy 162.526058 99.367423) + (xy 162.463401 99.351336) + (xy 162.417373 99.305883) + (xy 162.400499 99.243433) + (xy 162.400499 98.635281) + (xy 162.414014 98.578986) + (xy 162.451614 98.534963) + (xy 162.60587 98.422889) + (xy 162.634906 98.390642) + (xy 162.732533 98.282216) + (xy 162.827179 98.118284) + (xy 162.885674 97.938256) + (xy 162.90546 97.75) + (xy 162.885674 97.561744) + (xy 162.827179 97.381716) + (xy 162.827179 97.381715) + (xy 162.732533 97.217783) + (xy 162.60587 97.07711) + (xy 162.452732 96.965849) + (xy 162.337445 96.91452) + (xy 162.279803 96.888856) + (xy 162.234219 96.879166) + (xy 162.17703 96.850027) + (xy 162.142071 96.796195) + (xy 162.102679 96.67496) + (xy 162.008033 96.511028) + (xy 161.88137 96.370355) + (xy 161.72823 96.259093) + (xy 161.555302 96.1821) + (xy 161.370148 96.142745) + (xy 161.370146 96.142745) + (xy 161.180854 96.142745) + (xy 161.180852 96.142745) + (xy 160.995699 96.182099) + (xy 160.814883 96.262604) + (xy 160.751486 96.272645) + (xy 160.691562 96.249642) + (xy 160.651168 96.199759) + (xy 160.641127 96.136366) + (xy 160.65546 96) + (xy 160.635674 95.811744) + (xy 160.582375 95.647707) + (xy 160.577179 95.631715) + (xy 160.482533 95.467783) + (xy 160.35587 95.32711) + (xy 160.202732 95.215849) + (xy 160.171059 95.201748) + (xy 160.120042 95.159767) + (xy 160.097827 95.097544) + (xy 160.11072 95.032745) + (xy 160.14209 94.998092) + (xy 160.14115 94.997209) + (xy 160.151877 94.985786) + (xy 160.197932 94.936741) + (xy 160.200613 94.933976) + (xy 160.22012 94.91447) + (xy 160.222581 94.911295) + (xy 160.230152 94.902431) + (xy 160.260062 94.870582) + (xy 160.269713 94.853026) + (xy 160.280393 94.836767) + (xy 160.292674 94.820936) + (xy 160.310018 94.780851) + (xy 160.31516 94.770356) + (xy 160.336197 94.732092) + (xy 160.341178 94.712688) + (xy 160.34748 94.694283) + (xy 160.355438 94.675895) + (xy 160.36227 94.632748) + (xy 160.364639 94.621316) + (xy 160.3755 94.57902) + (xy 160.3755 94.558984) + (xy 160.377027 94.539585) + (xy 160.377101 94.539117) + (xy 160.38016 94.519804) + (xy 160.37605 94.476325) + (xy 160.3755 94.464656) + (xy 160.3755 88.946344) + (xy 160.377763 88.92584) + (xy 160.375561 88.855748) + (xy 160.3755 88.851854) + (xy 160.3755 88.824261) + (xy 160.3755 88.824254) + (xy 160.374995 88.820257) + (xy 160.37408 88.808627) + (xy 160.372709 88.764976) + (xy 160.367119 88.745738) + (xy 160.363174 88.726686) + (xy 160.360664 88.706812) + (xy 160.344578 88.666185) + (xy 160.340805 88.655164) + (xy 160.328617 88.613214) + (xy 160.318421 88.595973) + (xy 160.309863 88.578506) + (xy 160.302486 88.559872) + (xy 160.276798 88.524516) + (xy 160.270409 88.514788) + (xy 160.24817 88.477183) + (xy 160.234006 88.463018) + (xy 160.221369 88.448224) + (xy 160.209595 88.432018) + (xy 160.209594 88.432017) + (xy 160.175935 88.404172) + (xy 160.167305 88.396318) + (xy 159.387198 87.616211) + (xy 159.374302 87.600113) + (xy 159.323171 87.552098) + (xy 159.320374 87.549387) + (xy 159.300866 87.529879) + (xy 159.297686 87.527412) + (xy 159.28882 87.519839) + (xy 159.256978 87.489938) + (xy 159.23942 87.480285) + (xy 159.22316 87.469604) + (xy 159.207332 87.457327) + (xy 159.167247 87.43998) + (xy 159.156757 87.434841) + (xy 159.118487 87.413802) + (xy 159.099087 87.408821) + (xy 159.08068 87.402519) + (xy 159.062293 87.394562) + (xy 159.019154 87.387729) + (xy 159.00772 87.385361) + (xy 158.965415 87.3745) + (xy 158.94538 87.3745) + (xy 158.925982 87.372973) + (xy 158.918558 87.371797) + (xy 158.906201 87.36984) + (xy 158.9062 87.36984) + (xy 158.873147 87.372964) + (xy 158.862721 87.37395) + (xy 158.851052 87.3745) + (xy 158.329019 87.3745) + (xy 149.579019 87.3745) + (xy 141.129882 87.3745) + (xy 141.067882 87.357887) + (xy 141.022495 87.3125) + (xy 140.982533 87.243283) + (xy 140.85587 87.10261) + (xy 140.70273 86.991348) + (xy 140.529802 86.914355) + (xy 140.344648 86.875) + (xy 140.344646 86.875) + (xy 140.155354 86.875) + (xy 140.155352 86.875) + (xy 139.970197 86.914355) + (xy 139.797271 86.991347) + (xy 139.77746 87.005741) + (xy 139.714055 87.029058) + (xy 139.647836 87.015678) + (xy 139.59846 86.96957) + (xy 139.580472 86.939814) + (xy 139.478339 86.837681) + (xy 139.446245 86.782094) + (xy 139.446245 86.717906) + (xy 139.478339 86.662319) + (xy 139.580471 86.560186) + (xy 139.580472 86.560185) + (xy 139.668478 86.414606) + (xy 139.719086 86.252196) + (xy 139.7255 86.181616) + (xy 139.7255 85.668384) + (xy 139.719086 85.597804) + (xy 139.699948 85.536389) + (xy 139.696041 85.478993) + (xy 139.718458 85.42601) + (xy 139.762373 85.388846) + (xy 139.818334 85.3755) + (xy 144.274706 85.3755) + (xy 144.300487 85.37821) + (xy 144.405353 85.4005) + (xy 144.405354 85.4005) + (xy 144.594646 85.4005) + (xy 144.594648 85.4005) + (xy 144.718083 85.374262) + (xy 144.779803 85.361144) + (xy 144.95273 85.284151) + (xy 144.988074 85.258472) + (xy 145.10587 85.172889) + (xy 145.142943 85.131716) + (xy 145.232533 85.032216) + (xy 145.327179 84.868284) + (xy 145.385674 84.688256) + (xy 145.40546 84.5) + (xy 145.385674 84.311744) + (xy 145.335302 84.156716) + (xy 145.327179 84.131715) + (xy 145.28664 84.0615) + (xy 145.270027 83.9995) + (xy 145.28664 83.9375) + (xy 145.332027 83.892113) + (xy 145.394027 83.8755) + (xy 161.296253 83.8755) + (xy 161.346688 83.88622) + (xy 161.388401 83.916526) + (xy 161.394129 83.922888) + (xy 161.54727 84.034151) + (xy 161.547271 84.034151) + (xy 161.547272 84.034152) + (xy 161.720197 84.111144) + (xy 161.905352 84.1505) + (xy 161.905354 84.1505) + (xy 162.094646 84.1505) + (xy 162.094648 84.1505) + (xy 162.218083 84.124262) + (xy 162.279803 84.111144) + (xy 162.45273 84.034151) + (xy 162.58507 83.938001) + (xy 162.60587 83.922889) + (xy 162.611598 83.916528) + (xy 162.732533 83.782216) + (xy 162.827179 83.618284) + (xy 162.885674 83.438256) + (xy 162.90546 83.25) + (xy 162.885674 83.061744) + (xy 162.847021 82.942783) + (xy 162.827179 82.881715) + (xy 162.732533 82.717783) + (xy 162.60587 82.57711) + (xy 162.45273 82.465848) + (xy 162.279802 82.388855) + (xy 162.127129 82.356404) + (xy 162.074874 82.33148) + (xy 162.03963 82.285549) + (xy 162.02908 82.228624) + (xy 162.045523 82.173114) + (xy 162.077179 82.118284) + (xy 162.10184 82.042386) + (xy 162.135674 81.938256) + (xy 162.15546 81.75) + (xy 162.135674 81.561744) + (xy 162.094379 81.434651) + (xy 162.077179 81.381715) + (xy 161.982533 81.217783) + (xy 161.85587 81.07711) + (xy 161.70273 80.965848) + (xy 161.529802 80.888855) + (xy 161.344648 80.8495) + (xy 161.344646 80.8495) + (xy 161.155354 80.8495) + (xy 161.155352 80.8495) + (xy 160.970197 80.888855) + (xy 160.797272 80.965847) + (xy 160.701523 81.035413) + (xy 160.644129 81.077112) + (xy 160.638401 81.083473) + (xy 160.596688 81.11378) + (xy 160.546253 81.1245) + (xy 150.060453 81.1245) + (xy 150.013 81.115061) + (xy 149.972772 81.088181) + (xy 149.701819 80.817228) + (xy 149.674939 80.777) + (xy 149.6655 80.729547) + (xy 149.6655 80.454188) + (xy 149.679511 80.396931) + (xy 149.718377 80.352613) + (xy 149.87914 80.240046) + (xy 150.040046 80.07914) + (xy 150.077451 80.02572) + (xy 150.170568 79.892734) + (xy 150.197618 79.834724) + (xy 150.243375 79.782549) + (xy 150.31 79.763129) + (xy 150.376625 79.782549) + (xy 150.422382 79.834725) + (xy 150.449431 79.892733) + (xy 150.579953 80.07914) + (xy 150.740859 80.240046) + (xy 150.927264 80.370567) + (xy 150.927265 80.370567) + (xy 150.927266 80.370568) + (xy 151.133504 80.466739) + (xy 151.353308 80.525635) + (xy 151.504435 80.538856) + (xy 151.579999 80.545468) + (xy 151.579999 80.545467) + (xy 151.58 80.545468) + (xy 151.806692 80.525635) + (xy 152.026496 80.466739) + (xy 152.232734 80.370568) + (xy 152.419139 80.240047) + (xy 152.580047 80.079139) + (xy 152.710568 79.892734) + (xy 152.737618 79.834724) + (xy 152.783375 79.782549) + (xy 152.85 79.763129) + (xy 152.916625 79.782549) + (xy 152.962382 79.834725) + (xy 152.989431 79.892733) + (xy 153.119953 80.07914) + (xy 153.280859 80.240046) + (xy 153.467264 80.370567) + (xy 153.467265 80.370567) + (xy 153.467266 80.370568) + (xy 153.673504 80.466739) + (xy 153.893308 80.525635) + (xy 154.044435 80.538856) + (xy 154.119999 80.545468) + (xy 154.119999 80.545467) + (xy 154.12 80.545468) + (xy 154.346692 80.525635) + (xy 154.566496 80.466739) + (xy 154.772734 80.370568) + (xy 154.959139 80.240047) + (xy 155.120047 80.079139) + (xy 155.250568 79.892734) + (xy 155.277618 79.834724) + (xy 155.323375 79.782549) + (xy 155.39 79.763129) + (xy 155.456625 79.782549) + (xy 155.502382 79.834725) + (xy 155.529431 79.892733) + (xy 155.659953 80.07914) + (xy 155.820859 80.240046) + (xy 156.007264 80.370567) + (xy 156.007265 80.370567) + (xy 156.007266 80.370568) + (xy 156.213504 80.466739) + (xy 156.433308 80.525635) + (xy 156.584435 80.538856) + (xy 156.659999 80.545468) + (xy 156.659999 80.545467) + (xy 156.66 80.545468) + (xy 156.886692 80.525635) + (xy 157.106496 80.466739) + (xy 157.312734 80.370568) + (xy 157.499139 80.240047) + (xy 157.660047 80.079139) + (xy 157.790568 79.892734) + (xy 157.886739 79.686496) + (xy 157.945635 79.466692) + (xy 157.965468 79.24) + (xy 159.414531 79.24) + (xy 159.434364 79.466689) + (xy 159.493261 79.686497) + (xy 159.589432 79.892735) + (xy 159.719953 80.07914) + (xy 159.880859 80.240046) + (xy 160.067264 80.370567) + (xy 160.067265 80.370567) + (xy 160.067266 80.370568) + (xy 160.273504 80.466739) + (xy 160.493308 80.525635) + (xy 160.644435 80.538856) + (xy 160.719999 80.545468) + (xy 160.719999 80.545467) + (xy 160.72 80.545468) + (xy 160.946692 80.525635) + (xy 161.166496 80.466739) + (xy 161.372734 80.370568) + (xy 161.559139 80.240047) + (xy 161.720047 80.079139) + (xy 161.850568 79.892734) + (xy 161.877618 79.834724) + (xy 161.923375 79.782549) + (xy 161.99 79.763129) + (xy 162.056625 79.782549) + (xy 162.102382 79.834725) + (xy 162.129431 79.892733) + (xy 162.259953 80.07914) + (xy 162.420859 80.240046) + (xy 162.607264 80.370567) + (xy 162.607265 80.370567) + (xy 162.607266 80.370568) + (xy 162.813504 80.466739) + (xy 163.033308 80.525635) + (xy 163.184435 80.538856) + (xy 163.259999 80.545468) + (xy 163.259999 80.545467) + (xy 163.26 80.545468) + (xy 163.486692 80.525635) + (xy 163.706496 80.466739) + (xy 163.912734 80.370568) + (xy 164.099139 80.240047) + (xy 164.260047 80.079139) + (xy 164.390568 79.892734) + (xy 164.417618 79.834724) + (xy 164.463375 79.782549) + (xy 164.53 79.763129) + (xy 164.596625 79.782549) + (xy 164.642382 79.834725) + (xy 164.669431 79.892733) + (xy 164.799953 80.07914) + (xy 164.960859 80.240046) + (xy 165.147264 80.370567) + (xy 165.147265 80.370567) + (xy 165.147266 80.370568) + (xy 165.353504 80.466739) + (xy 165.573308 80.525635) + (xy 165.724435 80.538856) + (xy 165.799999 80.545468) + (xy 165.799999 80.545467) + (xy 165.8 80.545468) + (xy 166.026692 80.525635) + (xy 166.246496 80.466739) + (xy 166.452734 80.370568) + (xy 166.639139 80.240047) + (xy 166.800047 80.079139) + (xy 166.930568 79.892734) + (xy 166.957618 79.834724) + (xy 167.003375 79.782549) + (xy 167.07 79.763129) + (xy 167.136625 79.782549) + (xy 167.182382 79.834725) + (xy 167.209431 79.892733) + (xy 167.339953 80.07914) + (xy 167.500859 80.240046) + (xy 167.687264 80.370567) + (xy 167.687265 80.370567) + (xy 167.687266 80.370568) + (xy 167.893504 80.466739) + (xy 168.113308 80.525635) + (xy 168.264435 80.538856) + (xy 168.339999 80.545468) + (xy 168.339999 80.545467) + (xy 168.34 80.545468) + (xy 168.566692 80.525635) + (xy 168.786496 80.466739) + (xy 168.992734 80.370568) + (xy 169.179139 80.240047) + (xy 169.340047 80.079139) + (xy 169.470568 79.892734) + (xy 169.497618 79.834724) + (xy 169.543375 79.782549) + (xy 169.61 79.763129) + (xy 169.676625 79.782549) + (xy 169.722382 79.834725) + (xy 169.749431 79.892733) + (xy 169.879953 80.07914) + (xy 170.040859 80.240046) + (xy 170.227264 80.370567) + (xy 170.227265 80.370567) + (xy 170.227266 80.370568) + (xy 170.433504 80.466739) + (xy 170.653308 80.525635) + (xy 170.804435 80.538856) + (xy 170.879999 80.545468) + (xy 170.879999 80.545467) + (xy 170.88 80.545468) + (xy 171.106692 80.525635) + (xy 171.326496 80.466739) + (xy 171.532734 80.370568) + (xy 171.719139 80.240047) + (xy 171.880047 80.079139) + (xy 172.010568 79.892734) + (xy 172.037618 79.834724) + (xy 172.083375 79.782549) + (xy 172.15 79.763129) + (xy 172.216625 79.782549) + (xy 172.262382 79.834725) + (xy 172.289431 79.892733) + (xy 172.419953 80.07914) + (xy 172.580859 80.240046) + (xy 172.767264 80.370567) + (xy 172.767265 80.370567) + (xy 172.767266 80.370568) + (xy 172.973504 80.466739) + (xy 173.193308 80.525635) + (xy 173.344435 80.538856) + (xy 173.419999 80.545468) + (xy 173.419999 80.545467) + (xy 173.42 80.545468) + (xy 173.646692 80.525635) + (xy 173.866496 80.466739) + (xy 174.072734 80.370568) + (xy 174.259139 80.240047) + (xy 174.420047 80.079139) + (xy 174.550568 79.892734) + (xy 174.577618 79.834724) + (xy 174.623375 79.782549) + (xy 174.69 79.763129) + (xy 174.756625 79.782549) + (xy 174.802382 79.834725) + (xy 174.829431 79.892733) + (xy 174.959953 80.07914) + (xy 175.120859 80.240046) + (xy 175.307264 80.370567) + (xy 175.307265 80.370567) + (xy 175.307266 80.370568) + (xy 175.513504 80.466739) + (xy 175.733308 80.525635) + (xy 175.884435 80.538856) + (xy 175.959999 80.545468) + (xy 175.959999 80.545467) + (xy 175.96 80.545468) + (xy 176.186692 80.525635) + (xy 176.406496 80.466739) + (xy 176.612734 80.370568) + (xy 176.799139 80.240047) + (xy 176.960047 80.079139) + (xy 177.090568 79.892734) + (xy 177.117618 79.834724) + (xy 177.163375 79.782549) + (xy 177.23 79.763129) + (xy 177.296625 79.782549) + (xy 177.342382 79.834725) + (xy 177.369431 79.892733) + (xy 177.499953 80.07914) + (xy 177.660859 80.240046) + (xy 177.847264 80.370567) + (xy 177.847265 80.370567) + (xy 177.847266 80.370568) + (xy 178.053504 80.466739) + (xy 178.273308 80.525635) + (xy 178.424435 80.538856) + (xy 178.499999 80.545468) + (xy 178.499999 80.545467) + (xy 178.5 80.545468) + (xy 178.726692 80.525635) + (xy 178.946496 80.466739) + (xy 179.152734 80.370568) + (xy 179.339139 80.240047) + (xy 179.500047 80.079139) + (xy 179.630568 79.892734) + (xy 179.726739 79.686496) + (xy 179.785635 79.466692) + (xy 179.805468 79.24) + (xy 179.785635 79.013308) + (xy 179.726739 78.793504) + (xy 179.630568 78.587266) + (xy 179.500047 78.400861) + (xy 179.500046 78.400859) + (xy 179.33914 78.239953) + (xy 179.152735 78.109432) + (xy 178.946497 78.013261) + (xy 178.726689 77.954364) + (xy 178.499999 77.934531) + (xy 178.27331 77.954364) + (xy 178.053502 78.013261) + (xy 177.847264 78.109432) + (xy 177.660859 78.239953) + (xy 177.499953 78.400859) + (xy 177.369433 78.587263) + (xy 177.342382 78.645275) + (xy 177.296625 78.69745) + (xy 177.23 78.716869) + (xy 177.163375 78.69745) + (xy 177.117618 78.645275) + (xy 177.090568 78.587266) + (xy 176.960047 78.400861) + (xy 176.960046 78.400859) + (xy 176.79914 78.239953) + (xy 176.612735 78.109432) + (xy 176.406497 78.013261) + (xy 176.186689 77.954364) + (xy 175.959999 77.934531) + (xy 175.73331 77.954364) + (xy 175.513502 78.013261) + (xy 175.307264 78.109432) + (xy 175.120859 78.239953) + (xy 174.959953 78.400859) + (xy 174.829433 78.587263) + (xy 174.802382 78.645275) + (xy 174.756625 78.69745) + (xy 174.69 78.716869) + (xy 174.623375 78.69745) + (xy 174.577618 78.645275) + (xy 174.550568 78.587266) + (xy 174.420047 78.400861) + (xy 174.420046 78.400859) + (xy 174.25914 78.239953) + (xy 174.072735 78.109432) + (xy 173.866497 78.013261) + (xy 173.646689 77.954364) + (xy 173.419999 77.934531) + (xy 173.19331 77.954364) + (xy 172.973502 78.013261) + (xy 172.767264 78.109432) + (xy 172.580859 78.239953) + (xy 172.419953 78.400859) + (xy 172.289433 78.587263) + (xy 172.262382 78.645275) + (xy 172.216625 78.69745) + (xy 172.15 78.716869) + (xy 172.083375 78.69745) + (xy 172.037618 78.645275) + (xy 172.010568 78.587266) + (xy 171.880047 78.400861) + (xy 171.880046 78.400859) + (xy 171.71914 78.239953) + (xy 171.532735 78.109432) + (xy 171.326497 78.013261) + (xy 171.106689 77.954364) + (xy 170.879999 77.934531) + (xy 170.65331 77.954364) + (xy 170.433502 78.013261) + (xy 170.227264 78.109432) + (xy 170.040859 78.239953) + (xy 169.879953 78.400859) + (xy 169.749433 78.587263) + (xy 169.722382 78.645275) + (xy 169.676625 78.69745) + (xy 169.61 78.716869) + (xy 169.543375 78.69745) + (xy 169.497618 78.645275) + (xy 169.470568 78.587266) + (xy 169.340047 78.400861) + (xy 169.340046 78.400859) + (xy 169.17914 78.239953) + (xy 168.992735 78.109432) + (xy 168.786497 78.013261) + (xy 168.566689 77.954364) + (xy 168.339999 77.934531) + (xy 168.11331 77.954364) + (xy 167.893502 78.013261) + (xy 167.687264 78.109432) + (xy 167.500859 78.239953) + (xy 167.339953 78.400859) + (xy 167.209433 78.587263) + (xy 167.182382 78.645275) + (xy 167.136625 78.69745) + (xy 167.07 78.716869) + (xy 167.003375 78.69745) + (xy 166.957618 78.645275) + (xy 166.930568 78.587266) + (xy 166.800047 78.400861) + (xy 166.800046 78.400859) + (xy 166.63914 78.239953) + (xy 166.452735 78.109432) + (xy 166.246497 78.013261) + (xy 166.026689 77.954364) + (xy 165.799999 77.934531) + (xy 165.57331 77.954364) + (xy 165.353502 78.013261) + (xy 165.147264 78.109432) + (xy 164.960859 78.239953) + (xy 164.799953 78.400859) + (xy 164.669433 78.587263) + (xy 164.642382 78.645275) + (xy 164.596625 78.69745) + (xy 164.53 78.716869) + (xy 164.463375 78.69745) + (xy 164.417618 78.645275) + (xy 164.390568 78.587266) + (xy 164.260047 78.400861) + (xy 164.260046 78.400859) + (xy 164.09914 78.239953) + (xy 163.912735 78.109432) + (xy 163.706497 78.013261) + (xy 163.486689 77.954364) + (xy 163.259999 77.934531) + (xy 163.03331 77.954364) + (xy 162.813502 78.013261) + (xy 162.607264 78.109432) + (xy 162.420859 78.239953) + (xy 162.259953 78.400859) + (xy 162.129433 78.587263) + (xy 162.102382 78.645275) + (xy 162.056625 78.69745) + (xy 161.99 78.716869) + (xy 161.923375 78.69745) + (xy 161.877618 78.645275) + (xy 161.850568 78.587266) + (xy 161.720047 78.400861) + (xy 161.720046 78.400859) + (xy 161.55914 78.239953) + (xy 161.372735 78.109432) + (xy 161.166497 78.013261) + (xy 160.946689 77.954364) + (xy 160.719999 77.934531) + (xy 160.49331 77.954364) + (xy 160.273502 78.013261) + (xy 160.067264 78.109432) + (xy 159.880859 78.239953) + (xy 159.719953 78.400859) + (xy 159.589432 78.587264) + (xy 159.493261 78.793502) + (xy 159.434364 79.01331) + (xy 159.414531 79.24) + (xy 157.965468 79.24) + (xy 157.945635 79.013308) + (xy 157.886739 78.793504) + (xy 157.790568 78.587266) + (xy 157.660047 78.400861) + (xy 157.660046 78.400859) + (xy 157.49914 78.239953) + (xy 157.312735 78.109432) + (xy 157.106497 78.013261) + (xy 156.886689 77.954364) + (xy 156.659999 77.934531) + (xy 156.43331 77.954364) + (xy 156.213502 78.013261) + (xy 156.007264 78.109432) + (xy 155.820859 78.239953) + (xy 155.659953 78.400859) + (xy 155.529433 78.587263) + (xy 155.502382 78.645275) + (xy 155.456625 78.69745) + (xy 155.39 78.716869) + (xy 155.323375 78.69745) + (xy 155.277618 78.645275) + (xy 155.250568 78.587266) + (xy 155.120047 78.400861) + (xy 155.120046 78.400859) + (xy 154.95914 78.239953) + (xy 154.772735 78.109432) + (xy 154.566497 78.013261) + (xy 154.346689 77.954364) + (xy 154.119999 77.934531) + (xy 153.89331 77.954364) + (xy 153.673502 78.013261) + (xy 153.467264 78.109432) + (xy 153.280859 78.239953) + (xy 153.119953 78.400859) + (xy 152.989433 78.587263) + (xy 152.962382 78.645275) + (xy 152.916625 78.69745) + (xy 152.85 78.716869) + (xy 152.783375 78.69745) + (xy 152.737618 78.645275) + (xy 152.710568 78.587266) + (xy 152.580047 78.400861) + (xy 152.580046 78.400859) + (xy 152.41914 78.239953) + (xy 152.232735 78.109432) + (xy 152.026497 78.013261) + (xy 151.806689 77.954364) + (xy 151.579999 77.934531) + (xy 151.35331 77.954364) + (xy 151.133502 78.013261) + (xy 150.927264 78.109432) + (xy 150.740859 78.239953) + (xy 150.579953 78.400859) + (xy 150.449433 78.587263) + (xy 150.422382 78.645275) + (xy 150.376625 78.69745) + (xy 150.31 78.716869) + (xy 150.243375 78.69745) + (xy 150.197618 78.645275) + (xy 150.170568 78.587266) + (xy 150.040047 78.400861) + (xy 150.040046 78.400859) + (xy 149.87914 78.239953) + (xy 149.692735 78.109432) + (xy 149.486497 78.013261) + (xy 149.266689 77.954364) + (xy 149.039999 77.934531) + (xy 148.81331 77.954364) + (xy 148.593502 78.013261) + (xy 148.387264 78.109432) + (xy 148.200859 78.239953) + (xy 148.039953 78.400859) + (xy 147.909433 78.587263) + (xy 147.882382 78.645275) + (xy 147.836625 78.69745) + (xy 147.77 78.716869) + (xy 147.703375 78.69745) + (xy 147.657618 78.645275) + (xy 147.630568 78.587266) + (xy 147.500047 78.400861) + (xy 147.500046 78.400859) + (xy 147.33914 78.239953) + (xy 147.152735 78.109432) + (xy 146.946497 78.013261) + (xy 146.726689 77.954364) + (xy 146.499999 77.934531) + (xy 146.27331 77.954364) + (xy 146.053502 78.013261) + (xy 145.847264 78.109432) + (xy 145.660859 78.239953) + (xy 145.499953 78.400859) + (xy 145.369433 78.587263) + (xy 145.342382 78.645275) + (xy 145.296625 78.69745) + (xy 145.23 78.716869) + (xy 145.163375 78.69745) + (xy 145.117618 78.645275) + (xy 145.090568 78.587266) + (xy 144.960047 78.400861) + (xy 144.960046 78.400859) + (xy 144.79914 78.239953) + (xy 144.612735 78.109432) + (xy 144.406497 78.013261) + (xy 144.186689 77.954364) + (xy 143.959999 77.934531) + (xy 143.73331 77.954364) + (xy 143.513502 78.013261) + (xy 143.307264 78.109432) + (xy 143.120859 78.239953) + (xy 142.959953 78.400859) + (xy 142.829432 78.587264) + (xy 142.733261 78.793502) + (xy 142.674364 79.01331) + (xy 142.654531 79.24) + (xy 142.674364 79.466689) + (xy 142.733261 79.686497) + (xy 142.829432 79.892735) + (xy 142.959953 80.07914) + (xy 143.120859 80.240046) + (xy 143.281623 80.352613) + (xy 143.320489 80.396931) + (xy 143.3345 80.454188) + (xy 143.3345 82.127256) + (xy 143.332235 82.147762) + (xy 143.334439 82.217873) + (xy 143.3345 82.221768) + (xy 143.3345 82.249349) + (xy 143.335003 82.253334) + (xy 143.335918 82.264967) + (xy 143.33729 82.308626) + (xy 143.342879 82.32786) + (xy 143.346825 82.346916) + (xy 143.349335 82.366792) + (xy 143.365414 82.407404) + (xy 143.369197 82.418451) + (xy 143.381382 82.460391) + (xy 143.39158 82.477635) + (xy 143.400136 82.4951) + (xy 143.407514 82.513732) + (xy 143.407515 82.513733) + (xy 143.43318 82.549059) + (xy 143.439593 82.558822) + (xy 143.461826 82.596416) + (xy 143.461829 82.596419) + (xy 143.46183 82.59642) + (xy 143.475995 82.610585) + (xy 143.488627 82.625375) + (xy 143.500406 82.641587) + (xy 143.534058 82.669426) + (xy 143.542699 82.677289) + (xy 144.278228 83.412819) + (xy 144.308478 83.462182) + (xy 144.31302 83.519898) + (xy 144.290865 83.573385) + (xy 144.246842 83.610985) + (xy 144.190547 83.6245) + (xy 137.914006 83.6245) + (xy 137.866553 83.615061) + (xy 137.826325 83.588181) + (xy 135.724937 81.486793) + (xy 135.692843 81.431205) + (xy 135.692843 81.367018) + (xy 135.724935 81.311432) + (xy 135.817712 81.218656) + (xy 135.909814 81.069334) + (xy 135.964999 80.902797) + (xy 135.9755 80.800009) + (xy 135.975499 80.199992) + (xy 135.964999 80.097203) + (xy 135.909814 79.930666) + (xy 135.817712 79.781344) + (xy 135.817711 79.781342) + (xy 135.693657 79.657288) + (xy 135.544334 79.565186) + (xy 135.377797 79.51) + (xy 135.275009 79.4995) + (xy 134.224991 79.4995) + (xy 134.122203 79.51) + (xy 133.955665 79.565186) + (xy 133.806341 79.657289) + (xy 133.793002 79.670628) + (xy 133.731679 79.704112) + (xy 133.661989 79.699126) + (xy 133.606056 79.657255) + (xy 133.557547 79.592455) + (xy 133.557453 79.592385) + (xy 133.442331 79.506204) + (xy 133.307483 79.455909) + (xy 133.247873 79.4495) + (xy 133.247869 79.4495) + (xy 132.25213 79.4495) + (xy 132.192515 79.455909) + (xy 132.057669 79.506204) + (xy 131.942454 79.592454) + (xy 131.856204 79.707668) + (xy 131.805909 79.842515) + (xy 131.805909 79.842517) + (xy 131.801389 79.884563) + (xy 131.7995 79.90213) + (xy 131.7995 81.297869) + (xy 131.805909 81.357484) + (xy 131.821947 81.400483) + (xy 131.856204 81.492331) + (xy 131.942454 81.607546) + (xy 132.057669 81.693796) + (xy 132.192517 81.744091) + (xy 132.252127 81.7505) + (xy 133.247872 81.750499) + (xy 133.307483 81.744091) + (xy 133.442331 81.693796) + (xy 133.557546 81.607546) + (xy 133.619199 81.525187) + (xy 133.662961 81.488616) + (xy 133.718465 81.4755) + (xy 133.7505 81.4755) + (xy 133.8125 81.492113) + (xy 133.857887 81.5375) + (xy 133.8745 81.5995) + (xy 133.8745 81.709379) + (xy 133.874091 81.719442) + (xy 133.869661 81.773849) + (xy 133.880688 81.85479) + (xy 133.881096 81.85812) + (xy 133.889927 81.939315) + (xy 133.88999 81.939502) + (xy 133.895342 81.962338) + (xy 133.895369 81.962538) + (xy 133.92354 82.03922) + (xy 133.924655 82.042386) + (xy 133.950733 82.119781) + (xy 133.950831 82.119944) + (xy 133.96097 82.141102) + (xy 133.961037 82.141285) + (xy 134.005046 82.210139) + (xy 134.006815 82.212991) + (xy 134.04891 82.282953) + (xy 134.049041 82.283091) + (xy 134.063494 82.301579) + (xy 134.0636 82.301744) + (xy 134.121395 82.359539) + (xy 134.123702 82.36191) + (xy 134.179868 82.421205) + (xy 134.179871 82.421207) + (xy 134.180024 82.421311) + (xy 134.198121 82.436265) + (xy 136.671939 84.910083) + (xy 136.701475 84.957315) + (xy 136.707354 85.012711) + (xy 136.688389 85.06509) + (xy 136.648408 85.103881) + (xy 136.539813 85.169528) + (xy 136.446161 85.263181) + (xy 136.405933 85.290061) + (xy 136.35848 85.2995) + (xy 132.221787 85.2995) + (xy 132.171352 85.28878) + (xy 132.129637 85.258472) + (xy 132.103856 85.213818) + (xy 132.077179 85.131715) + (xy 131.982533 84.967783) + (xy 131.85587 84.82711) + (xy 131.70273 84.715848) + (xy 131.529802 84.638855) + (xy 131.344648 84.5995) + (xy 131.344646 84.5995) + (xy 131.155354 84.5995) + (xy 131.155352 84.5995) + (xy 130.970197 84.638855) + (xy 130.797269 84.715848) + (xy 130.643171 84.827807) + (xy 130.589684 84.849962) + (xy 130.531968 84.84542) + (xy 130.482605 84.81517) + (xy 129.051398 83.383963) + (xy 129.040702 83.371767) + (xy 129.02148 83.346715) + (xy 128.89604 83.250463) + (xy 128.894922 83.25) + (xy 128.753711 83.191508) + (xy 128.750412 83.190013) + (xy 128.5932 83.169316) + (xy 128.565822 83.172921) + (xy 128.561896 83.173438) + (xy 128.545712 83.174499) + (xy 126.687905 83.174499) + (xy 126.625905 83.157886) + (xy 126.580518 83.112499) + (xy 126.558379 83.074153) + (xy 126.541936 83.018642) + (xy 126.552487 82.961717) + (xy 126.587731 82.915787) + (xy 126.639986 82.890863) + (xy 126.713012 82.87534) + (xy 126.779803 82.861144) + (xy 126.95273 82.784151) + (xy 126.95273 82.78415) + (xy 127.10587 82.672889) + (xy 127.232533 82.532216) + (xy 127.327179 82.368284) + (xy 127.32925 82.36191) + (xy 127.385674 82.188256) + (xy 127.40546 82) + (xy 127.385674 81.811744) + (xy 127.381568 81.799109) + (xy 127.3755 81.760793) + (xy 127.3755 81.6995) + (xy 127.392113 81.6375) + (xy 127.4375 81.592113) + (xy 127.4995 81.5755) + (xy 128.858375 81.5755) + (xy 128.897534 81.581846) + (xy 128.932686 81.600233) + (xy 128.942452 81.607544) + (xy 128.942454 81.607546) + (xy 129.057669 81.693796) + (xy 129.192517 81.744091) + (xy 129.252127 81.7505) + (xy 130.247872 81.750499) + (xy 130.307483 81.744091) + (xy 130.442331 81.693796) + (xy 130.557546 81.607546) + (xy 130.643796 81.492331) + (xy 130.694091 81.357483) + (xy 130.7005 81.297873) + (xy 130.700499 79.902128) + (xy 130.694091 79.842517) + (xy 130.643796 79.707669) + (xy 130.643526 79.707308) + (xy 130.619928 79.649744) + (xy 130.619309 79.645203) + (xy 130.6189 79.64186) + (xy 130.610073 79.560684) + (xy 130.610011 79.560502) + (xy 130.604656 79.53765) + (xy 130.604631 79.537463) + (xy 130.576462 79.46079) + (xy 130.575346 79.457621) + (xy 130.549267 79.38022) + (xy 130.549173 79.380064) + (xy 130.539026 79.35889) + (xy 130.538961 79.358712) + (xy 130.494959 79.289871) + (xy 130.49322 79.287068) + (xy 130.464899 79.239999) + (xy 137.574531 79.239999) + (xy 137.594364 79.466689) + (xy 137.653261 79.686497) + (xy 137.749432 79.892735) + (xy 137.879953 80.07914) + (xy 138.040859 80.240046) + (xy 138.227264 80.370567) + (xy 138.227265 80.370567) + (xy 138.227266 80.370568) + (xy 138.433504 80.466739) + (xy 138.653308 80.525635) + (xy 138.804435 80.538856) + (xy 138.879999 80.545468) + (xy 138.879999 80.545467) + (xy 138.88 80.545468) + (xy 139.106692 80.525635) + (xy 139.326496 80.466739) + (xy 139.532734 80.370568) + (xy 139.719139 80.240047) + (xy 139.880047 80.079139) + (xy 140.010568 79.892734) + (xy 140.106739 79.686496) + (xy 140.165635 79.466692) + (xy 140.185468 79.24) + (xy 140.165635 79.013308) + (xy 140.106739 78.793504) + (xy 140.010568 78.587266) + (xy 139.880047 78.400861) + (xy 139.880046 78.400859) + (xy 139.71914 78.239953) + (xy 139.532735 78.109432) + (xy 139.326497 78.013261) + (xy 139.106689 77.954364) + (xy 138.879999 77.934531) + (xy 138.65331 77.954364) + (xy 138.433502 78.013261) + (xy 138.227264 78.109432) + (xy 138.040859 78.239953) + (xy 137.879953 78.400859) + (xy 137.749432 78.587264) + (xy 137.653261 78.793502) + (xy 137.594364 79.01331) + (xy 137.574531 79.239999) + (xy 130.464899 79.239999) + (xy 130.451089 79.217046) + (xy 130.450956 79.216906) + (xy 130.436503 79.198417) + (xy 130.4364 79.198256) + (xy 130.378658 79.140514) + (xy 130.376316 79.138109) + (xy 130.366547 79.127796) + (xy 130.334473 79.093936) + (xy 130.309306 79.054562) + (xy 130.300499 79.008669) + (xy 130.300499 77.974992) + (xy 130.289999 77.872203) + (xy 130.234814 77.705666) + (xy 130.142712 77.556344) + (xy 130.142711 77.556342) + (xy 130.018657 77.432288) + (xy 129.869334 77.340186) + (xy 129.702797 77.285) + (xy 129.609535 77.275473) + (xy 129.600008 77.2745) + (xy 128.999991 77.2745) + (xy 128.897203 77.285) + (xy 128.730665 77.340186) + (xy 128.581342 77.432288) + (xy 128.457288 77.556342) + (xy 128.365186 77.705665) + (xy 128.31 77.872202) + (xy 128.2995 77.97499) + (xy 128.2995 79.025008) + (xy 128.31 79.127796) + (xy 128.365186 79.294334) + (xy 128.415476 79.375869) + (xy 128.43321 79.427557) + (xy 128.439927 79.489315) + (xy 128.43999 79.489502) + (xy 128.445342 79.512338) + (xy 128.445369 79.512538) + (xy 128.47354 79.58922) + (xy 128.474655 79.592385) + (xy 128.474679 79.592455) + (xy 128.496524 79.657289) + (xy 128.497743 79.660905) + (xy 128.502861 79.718901) + (xy 128.480946 79.77284) + (xy 128.436828 79.810832) + (xy 128.380234 79.8245) + (xy 127.425664 79.8245) + (xy 127.360568 79.806039) + (xy 127.294334 79.765186) + (xy 127.127797 79.71) + (xy 127.025009 79.6995) + (xy 125.974991 79.6995) + (xy 125.872203 79.71) + (xy 125.705665 79.765186) + (xy 125.556342 79.857288) + (xy 125.512202 79.901429) + (xy 125.465799 79.930676) + (xy 125.41132 79.937043) + (xy 125.359425 79.919286) + (xy 125.308697 79.887997) + (xy 125.216023 79.857288) + (xy 125.147707 79.83465) + (xy 125.058495 79.825536) + (xy 125.048344 79.8245) + (xy 124.451655 79.8245) + (xy 124.352292 79.83465) + (xy 124.191302 79.887997) + (xy 124.168393 79.902128) + (xy 124.121522 79.931038) + (xy 124.056426 79.9495) + (xy 123.866988 79.9495) + (xy 123.827293 79.938242) + (xy 123.826045 79.94201) + (xy 123.652685 79.884563) + (xy 123.554174 79.8745) + (xy 122.945826 79.8745) + (xy 122.847314 79.884563) + (xy 122.687714 79.93745) + (xy 122.544606 80.02572) + (xy 122.42572 80.144606) + (xy 122.33745 80.287714) + (xy 122.284563 80.447314) + (xy 122.2745 80.545826) + (xy 122.2745 81.079174) + (xy 122.284563 81.177685) + (xy 122.319434 81.282916) + (xy 122.322386 81.350515) + (xy 122.28941 81.4096) + (xy 122.230327 81.442577) + (xy 122.162727 81.439627) + (xy 122.147708 81.43465) + (xy 122.057554 81.42544) + (xy 122.048344 81.4245) + (xy 121.451655 81.4245) + (xy 121.352292 81.43465) + (xy 121.1913 81.487997) + (xy 121.046955 81.577031) + (xy 120.927031 81.696955) + (xy 120.837997 81.8413) + (xy 120.78465 82.002292) + (xy 120.781817 82.030028) + (xy 120.756053 82.093918) + (xy 120.700168 82.134199) + (xy 120.631409 82.138438) + (xy 120.559332 82.122326) + (xy 120.40137 82.12729) + (xy 120.255824 82.169576) + (xy 120.221229 82.1745) + (xy 120.210542 82.1745) + (xy 120.171381 82.168154) + (xy 120.15775 82.161023) + (xy 120.144832 82.156204) + (xy 120.144831 82.156204) + (xy 120.009983 82.105909) + (xy 119.950373 82.0995) + (xy 119.950369 82.0995) + (xy 118.50463 82.0995) + (xy 118.445015 82.105909) + (xy 118.310169 82.156204) + (xy 118.194954 82.242454) + (xy 118.108704 82.357668) + (xy 118.058409 82.492516) + (xy 118.052 82.55213) + (xy 118.052 83.04787) + (xy 118.058867 83.111745) + (xy 118.058867 83.138252) + (xy 118.054994 83.174279) + (xy 118.053303 83.190013) + (xy 118.052 83.202129) + (xy 118.052 83.69787) + (xy 118.058867 83.761745) + (xy 118.058867 83.788252) + (xy 118.052 83.852129) + (xy 118.052 84.34787) + (xy 118.058867 84.411745) + (xy 118.058867 84.438252) + (xy 118.052 84.502129) + (xy 118.052 84.997869) + (xy 118.055693 85.032216) + (xy 118.058409 85.057483) + (xy 118.108704 85.192331) + (xy 118.194954 85.307546) + (xy 118.310169 85.393796) + (xy 118.445017 85.444091) + (xy 118.504627 85.4505) + (xy 119.950372 85.450499) + (xy 120.009983 85.444091) + (xy 120.144831 85.393796) + (xy 120.260046 85.307546) + (xy 120.346296 85.192331) + (xy 120.396591 85.057483) + (xy 120.403 84.997873) + (xy 120.402999 84.765313) + (xy 120.412438 84.717861) + (xy 120.439318 84.677632) + (xy 120.479544 84.650754) + (xy 120.542842 84.624536) + (xy 120.542845 84.624532) + (xy 120.546851 84.622874) + (xy 120.576401 84.607081) + (xy 120.616259 84.6005) + (xy 120.881703 84.6005) + (xy 120.929156 84.609939) + (xy 120.969384 84.636819) + (xy 121.232022 84.899457) + (xy 121.260677 84.944219) + (xy 121.267959 84.996867) + (xy 121.252531 85.047727) + (xy 121.217227 85.087456) + (xy 121.119127 85.158729) + (xy 120.992466 85.2994) + (xy 120.89782 85.463332) + (xy 120.839326 85.643359) + (xy 120.81954 85.831616) + (xy 120.839326 86.019874) + (xy 120.89782 86.199901) + (xy 120.992466 86.363833) + (xy 121.119129 86.504506) + (xy 121.272269 86.615768) + (xy 121.445197 86.692761) + (xy 121.630352 86.732117) + (xy 121.630354 86.732117) + (xy 121.819646 86.732117) + (xy 121.819648 86.732117) + (xy 121.943083 86.705879) + (xy 122.004803 86.692761) + (xy 122.17773 86.615768) + (xy 122.17773 86.615767) + (xy 122.189636 86.610467) + (xy 122.1897 86.610611) + (xy 122.22421 86.595243) + (xy 122.275777 86.595241) + (xy 122.310299 86.610611) + (xy 122.310364 86.610467) + (xy 122.495197 86.692761) + (xy 122.680352 86.732117) + (xy 122.680354 86.732117) + (xy 122.869646 86.732117) + (xy 122.869648 86.732117) + (xy 122.993083 86.705879) + (xy 123.054803 86.692761) + (xy 123.22773 86.615768) + (xy 123.291718 86.569278) + (xy 123.38087 86.504506) + (xy 123.507533 86.363833) + (xy 123.602179 86.199901) + (xy 123.655872 86.034651) + (xy 123.660674 86.019873) + (xy 123.68046 85.831617) + (xy 123.660674 85.643361) + (xy 123.625917 85.53639) + (xy 123.602179 85.463332) + (xy 123.507533 85.2994) + (xy 123.38087 85.158727) + (xy 123.227732 85.047466) + (xy 123.171131 85.022266) + (xy 123.149063 85.012441) + (xy 123.110302 84.9853) + (xy 123.084529 84.945613) + (xy 123.0755 84.899162) + (xy 123.0755 84.704293) + (xy 123.076561 84.688107) + (xy 123.080683 84.6568) + (xy 123.060044 84.500038) + (xy 123.060028 84.5) + (xy 122.999536 84.353958) + (xy 122.903282 84.228518) + (xy 122.90328 84.228517) + (xy 122.90328 84.228516) + (xy 122.878229 84.209294) + (xy 122.866034 84.198599) + (xy 122.165984 83.498549) + (xy 122.13437 83.4447) + (xy 122.133008 83.382271) + (xy 122.162243 83.327094) + (xy 122.214659 83.293163) + (xy 122.308697 83.262003) + (xy 122.432665 83.185537) + (xy 122.497762 83.167076) + (xy 122.562859 83.185538) + (xy 122.68771 83.262548) + (xy 122.847314 83.315436) + (xy 122.945826 83.3255) + (xy 123.554174 83.3255) + (xy 123.652685 83.315436) + (xy 123.652948 83.315349) + (xy 123.812287 83.262549) + (xy 123.955391 83.174281) + (xy 124.074281 83.055391) + (xy 124.162549 82.912287) + (xy 124.215436 82.752685) + (xy 124.2255 82.654174) + (xy 124.2255 82.120826) + (xy 124.218646 82.053732) + (xy 124.215436 82.022314) + (xy 124.166455 81.8745) + (xy 124.16281 81.863503) + (xy 124.15796 81.805637) + (xy 124.179987 81.751907) + (xy 124.224061 81.714097) + (xy 124.280517 81.7005) + (xy 124.287471 81.7005) + (xy 124.326473 81.706793) + (xy 124.352292 81.715349) + (xy 124.451655 81.7255) + (xy 125.048344 81.725499) + (xy 125.147708 81.715349) + (xy 125.173526 81.706793) + (xy 125.212529 81.7005) + (xy 125.488303 81.7005) + (xy 125.554013 81.719342) + (xy 125.599754 81.770142) + (xy 125.611623 81.837458) + (xy 125.595882 81.987228) + (xy 125.59454 82) + (xy 125.614326 82.188257) + (xy 125.67282 82.368284) + (xy 125.69162 82.400846) + (xy 125.708063 82.456357) + (xy 125.697513 82.513281) + (xy 125.662269 82.559212) + (xy 125.610014 82.584136) + (xy 125.470197 82.613855) + (xy 125.297269 82.690848) + (xy 125.144129 82.80211) + (xy 125.017466 82.942783) + (xy 124.92282 83.106715) + (xy 124.864326 83.286742) + (xy 124.84454 83.475) + (xy 124.864326 83.663257) + (xy 124.92282 83.843283) + (xy 124.977505 83.938001) + (xy 124.994117 84) + (xy 124.977505 84.061999) + (xy 124.92282 84.156716) + (xy 124.864326 84.336742) + (xy 124.84454 84.524999) + (xy 124.864326 84.713257) + (xy 124.92282 84.893284) + (xy 125.017466 85.057216) + (xy 125.144129 85.197889) + (xy 125.297269 85.309151) + (xy 125.470197 85.386144) + (xy 125.655352 85.4255) + (xy 125.655354 85.4255) + (xy 125.844646 85.4255) + (xy 125.844648 85.4255) + (xy 125.968084 85.399262) + (xy 126.029803 85.386144) + (xy 126.20273 85.309151) + (xy 126.355871 85.197888) + (xy 126.482533 85.057216) + (xy 126.516797 84.997869) + (xy 126.580518 84.887501) + (xy 126.625905 84.842114) + (xy 126.687905 84.825501) + (xy 128.106704 84.825501) + (xy 128.154157 84.83494) + (xy 128.194385 84.86182) + (xy 129.038134 85.705569) + (xy 129.065014 85.745797) + (xy 129.074453 85.79325) + (xy 129.074453 85.9005) + (xy 129.05784 85.9625) + (xy 129.012453 86.007887) + (xy 128.950453 86.0245) + (xy 128.651655 86.0245) + (xy 128.552292 86.03465) + (xy 128.3913 86.087997) + (xy 128.246955 86.177031) + (xy 128.127031 86.296955) + (xy 128.037997 86.4413) + (xy 127.98465 86.602292) + (xy 127.9745 86.701655) + (xy 127.9745 87.298344) + (xy 127.984651 87.397709) + (xy 128.00912 87.471554) + (xy 128.01369 87.53116) + (xy 127.98984 87.585977) + (xy 127.943114 87.623265) + (xy 127.884371 87.634357) + (xy 127.827265 87.616674) + (xy 127.814609 87.609023) + (xy 127.652193 87.558413) + (xy 127.581617 87.552) + (xy 127.581616 87.552) + (xy 127.068384 87.552) + (xy 127.068383 87.552) + (xy 126.997806 87.558413) + (xy 126.916599 87.583717) + (xy 126.835394 87.609022) + (xy 126.835392 87.609022) + (xy 126.835392 87.609023) + (xy 126.689813 87.697028) + (xy 126.569528 87.817313) + (xy 126.481523 87.962892) + (xy 126.430913 88.125306) + (xy 126.4245 88.195883) + (xy 126.4245 88.859117) + (xy 126.430913 88.929693) + (xy 126.430914 88.929696) + (xy 126.481522 89.092106) + (xy 126.507287 89.134726) + (xy 126.557137 89.217189) + (xy 126.574345 89.268422) + (xy 126.568126 89.322109) + (xy 126.53966 89.368051) + (xy 126.494355 89.39752) + (xy 126.457671 89.411202) + (xy 126.342453 89.497454) + (xy 126.248756 89.622618) + (xy 126.201695 89.660782) + (xy 126.14217 89.672091) + (xy 126.084392 89.653846) + (xy 126.058696 89.637996) + (xy 125.897707 89.58465) + (xy 125.808494 89.575536) + (xy 125.798344 89.5745) + (xy 125.201655 89.5745) + (xy 125.102292 89.58465) + (xy 124.9413 89.637997) + (xy 124.796955 89.727031) + (xy 124.677031 89.846955) + (xy 124.587997 89.9913) + (xy 124.53465 90.152292) + (xy 124.5245 90.251655) + (xy 124.5245 90.798344) + (xy 124.53465 90.897707) + (xy 124.587997 91.058699) + (xy 124.677031 91.203044) + (xy 124.796955 91.322968) + (xy 124.931901 91.406204) + (xy 124.941303 91.412003) + (xy 125.028959 91.441049) + (xy 125.076439 91.469891) + (xy 125.10656 91.51657) + (xy 125.113277 91.571715) + (xy 125.09454 91.749998) + (xy 125.114326 91.938257) + (xy 125.167365 92.101494) + (xy 125.172347 92.156198) + (xy 125.153208 92.207686) + (xy 125.113706 92.245855) + (xy 125.061591 92.263215) + (xy 125.053865 92.263976) + (xy 124.865273 92.321185) + (xy 124.691463 92.414089) + (xy 124.539117 92.539117) + (xy 124.414089 92.691463) + (xy 124.321185 92.865273) + (xy 124.263976 93.053866) + (xy 124.244659 93.249999) + (xy 124.263976 93.446133) + (xy 124.321185 93.634726) + (xy 124.359972 93.70729) + (xy 124.41409 93.808538) + (xy 124.539117 93.960883) + (xy 124.691462 94.08591) + (xy 124.74191 94.112875) + (xy 124.793858 94.140642) + (xy 124.841763 94.186251) + (xy 124.859405 94.25) + (xy 124.841763 94.313749) + (xy 124.793858 94.359358) + (xy 124.691463 94.414089) + (xy 124.539117 94.539117) + (xy 124.414089 94.691463) + (xy 124.321185 94.865273) + (xy 124.263976 95.053866) + (xy 124.244659 95.25) + (xy 124.263976 95.446133) + (xy 124.321185 95.634726) + (xy 124.3881 95.759915) + (xy 124.41409 95.808538) + (xy 124.539117 95.960883) + (xy 124.691462 96.08591) + (xy 124.865273 96.178814) + (xy 125.053868 96.236024) + (xy 125.25 96.255341) + (xy 125.446132 96.236024) + (xy 125.634727 96.178814) + (xy 125.808538 96.08591) + (xy 125.960883 95.960883) + (xy 126.08591 95.808538) + (xy 126.085911 95.808535) + (xy 126.090972 95.802369) + (xy 126.146199 95.763878) + (xy 126.2134 95.759915) + (xy 126.272768 95.791648) + (xy 126.306807 95.849725) + (xy 126.305486 95.917029) + (xy 126.263975 96.053869) + (xy 126.244659 96.25) + (xy 126.263976 96.446133) + (xy 126.321185 96.634726) + (xy 126.402091 96.786091) + (xy 126.41409 96.808538) + (xy 126.539117 96.960883) + (xy 126.691462 97.08591) + (xy 126.865273 97.178814) + (xy 127.053868 97.236024) + (xy 127.25 97.255341) + (xy 127.446132 97.236024) + (xy 127.634727 97.178814) + (xy 127.808538 97.08591) + (xy 127.960883 96.960883) + (xy 128.08591 96.808538) + (xy 128.178814 96.634727) + (xy 128.236024 96.446132) + (xy 128.255341 96.25) + (xy 128.236024 96.053868) + (xy 128.178814 95.865273) + (xy 128.08591 95.691462) + (xy 127.960883 95.539117) + (xy 127.960882 95.539116) + (xy 127.953647 95.5303) + (xy 127.932749 95.493409) + (xy 127.9255 95.451635) + (xy 127.9255 95.310764) + (xy 127.935512 95.261951) + (xy 127.96393 95.221021) + (xy 128.006167 95.194582) + (xy 128.030077 95.185664) + (xy 128.142331 95.143796) + (xy 128.257546 95.057546) + (xy 128.343796 94.942331) + (xy 128.394091 94.807483) + (xy 128.4005 94.747873) + (xy 128.400499 94.252128) + (xy 128.394438 94.195745) + (xy 128.394439 94.169244) + (xy 128.4005 94.112873) + (xy 128.400499 93.617128) + (xy 128.394439 93.560751) + (xy 128.394439 93.534244) + (xy 128.4005 93.477873) + (xy 128.400499 92.982128) + (xy 128.394091 92.922517) + (xy 128.343796 92.787669) + (xy 128.257546 92.672454) + (xy 128.257545 92.672453) + (xy 128.255193 92.669311) + (xy 128.233294 92.621358) + (xy 128.233294 92.568642) + (xy 128.255193 92.520689) + (xy 128.277227 92.491255) + (xy 128.343796 92.402331) + (xy 128.394091 92.267483) + (xy 128.4005 92.207873) + (xy 128.400499 91.712128) + (xy 128.394439 91.655751) + (xy 128.394439 91.629244) + (xy 128.4005 91.572873) + (xy 128.400499 91.572866) + (xy 128.400731 91.570718) + (xy 128.426779 91.507032) + (xy 128.482768 91.467036) + (xy 128.551459 91.463047) + (xy 128.611701 91.496291) + (xy 129.460857 92.345448) + (xy 130.291038 93.175629) + (xy 130.315277 93.209926) + (xy 130.326678 93.250348) + (xy 130.344326 93.418257) + (xy 130.40282 93.598284) + (xy 130.497466 93.762216) + (xy 130.624129 93.902889) + (xy 130.777269 94.014151) + (xy 130.950197 94.091144) + (xy 131.135352 94.1305) + (xy 131.2755 94.1305) + (xy 131.3375 94.147113) + (xy 131.382887 94.1925) + (xy 131.3995 94.2545) + (xy 131.3995 94.747869) + (xy 131.405909 94.807484) + (xy 131.416829 94.836762) + (xy 131.456204 94.942331) + (xy 131.542454 95.057546) + (xy 131.657669 95.143796) + (xy 131.792517 95.194091) + (xy 131.852127 95.2005) + (xy 133.147872 95.200499) + (xy 133.207483 95.194091) + (xy 133.342331 95.143796) + (xy 133.342335 95.143792) + (xy 133.355247 95.138977) + (xy 133.368881 95.131846) + (xy 133.408042 95.1255) + (xy 133.746138 95.1255) + (xy 133.805875 95.140838) + (xy 133.842908 95.161197) + (xy 133.862306 95.166177) + (xy 133.880708 95.172477) + (xy 133.899104 95.180438) + (xy 133.942261 95.187273) + (xy 133.953664 95.189634) + (xy 133.995981 95.2005) + (xy 134.016016 95.2005) + (xy 134.035413 95.202026) + (xy 134.055196 95.20516) + (xy 134.098674 95.20105) + (xy 134.110344 95.2005) + (xy 135.342256 95.2005) + (xy 135.362762 95.202764) + (xy 135.365665 95.202672) + (xy 135.365667 95.202673) + (xy 135.432872 95.200561) + (xy 135.436768 95.2005) + (xy 135.464349 95.2005) + (xy 135.46435 95.2005) + (xy 135.468319 95.199998) + (xy 135.479965 95.19908) + (xy 135.523627 95.197709) + (xy 135.542859 95.19212) + (xy 135.561918 95.188174) + (xy 135.569091 95.187268) + (xy 135.581792 95.185664) + (xy 135.622407 95.169582) + (xy 135.633444 95.165803) + (xy 135.67539 95.153618) + (xy 135.692629 95.143422) + (xy 135.710102 95.134862) + (xy 135.7151 95.132883) + (xy 135.728732 95.127486) + (xy 135.764064 95.101814) + (xy 135.77383 95.0954) + (xy 135.811418 95.073171) + (xy 135.811417 95.073171) + (xy 135.81142 95.07317) + (xy 135.825585 95.059004) + (xy 135.840373 95.046373) + (xy 135.856587 95.034594) + (xy 135.884438 95.000926) + (xy 135.892279 94.992309) + (xy 136.951986 93.932603) + (xy 136.968083 93.919708) + (xy 136.970071 93.917589) + (xy 136.970075 93.917588) + (xy 137.016146 93.868525) + (xy 137.018764 93.865825) + (xy 137.038318 93.846273) + (xy 137.040779 93.8431) + (xy 137.048354 93.834229) + (xy 137.07826 93.802384) + (xy 137.087915 93.78482) + (xy 137.098592 93.768566) + (xy 137.110871 93.752738) + (xy 137.128216 93.712654) + (xy 137.133358 93.702158) + (xy 137.154395 93.663894) + (xy 137.159377 93.644486) + (xy 137.165679 93.626082) + (xy 137.173635 93.607698) + (xy 137.180467 93.564554) + (xy 137.182831 93.55314) + (xy 137.193698 93.510821) + (xy 137.193698 93.490786) + (xy 137.195225 93.471387) + (xy 137.195266 93.471123) + (xy 137.198358 93.451606) + (xy 137.194248 93.408127) + (xy 137.193698 93.396458) + (xy 137.193698 90.62865) + (xy 137.203137 90.581197) + (xy 137.230017 90.540969) + (xy 137.859168 89.911819) + (xy 137.899396 89.884939) + (xy 137.946849 89.8755) + (xy 139.546253 89.8755) + (xy 139.596688 89.88622) + (xy 139.638401 89.916526) + (xy 139.644129 89.922888) + (xy 139.79727 90.034151) + (xy 139.797271 90.034151) + (xy 139.797272 90.034152) + (xy 139.970197 90.111144) + (xy 140.155352 90.1505) + (xy 140.155354 90.1505) + (xy 140.344646 90.1505) + (xy 140.344648 90.1505) + (xy 140.468083 90.124262) + (xy 140.529803 90.111144) + (xy 140.70273 90.034151) + (xy 140.702729 90.034151) + (xy 140.85587 89.922889) + (xy 140.861598 89.916528) + (xy 140.982533 89.782216) + (xy 141.077179 89.618284) + (xy 141.135674 89.438256) + (xy 141.15546 89.25) + (xy 141.155459 89.249998) + (xy 141.156822 89.237039) + (xy 141.161182 89.237497) + (xy 141.168607 89.195208) + (xy 141.214349 89.144361) + (xy 141.28009 89.1255) + (xy 158.439548 89.1255) + (xy 158.487001 89.134939) + (xy 158.527229 89.161819) + (xy 158.588181 89.222771) + (xy 158.615061 89.262999) + (xy 158.6245 89.310452) + (xy 158.6245 90.2005) + (xy 158.607887 90.2625) + (xy 158.5625 90.307887) + (xy 158.5005 90.3245) + (xy 157.951655 90.3245) + (xy 157.852292 90.33465) + (xy 157.6913 90.387997) + (xy 157.546955 90.477031) + (xy 157.427031 90.596955) + (xy 157.337997 90.7413) + (xy 157.28465 90.902292) + (xy 157.2745 91.001655) + (xy 157.2745 91.548344) + (xy 157.28465 91.647707) + (xy 157.337996 91.808696) + (xy 157.380428 91.877488) + (xy 157.397417 91.923533) + (xy 157.395211 91.972562) + (xy 157.374156 92.016895) + (xy 157.306205 92.107666) + (xy 157.255909 92.242516) + (xy 157.2495 92.302131) + (xy 157.2495 93.314546) + (xy 157.240061 93.361999) + (xy 157.213182 93.402225) + (xy 157.144021 93.471387) + (xy 157.08768 93.527728) + (xy 157.032092 93.559821) + (xy 156.967905 93.559821) + (xy 156.912318 93.527727) + (xy 156.786818 93.402227) + (xy 156.759938 93.361999) + (xy 156.750499 93.314546) + (xy 156.750499 92.30213) + (xy 156.748928 92.287517) + (xy 156.744091 92.242517) + (xy 156.693796 92.107669) + (xy 156.689173 92.101494) + (xy 156.625843 92.016896) + (xy 156.604787 91.972562) + (xy 156.602582 91.923532) + (xy 156.61957 91.87749) + (xy 156.662003 91.808697) + (xy 156.715349 91.647708) + (xy 156.7255 91.548345) + (xy 156.725499 91.001656) + (xy 156.718983 90.937872) + (xy 156.715349 90.902292) + (xy 156.662002 90.7413) + (xy 156.572968 90.596955) + (xy 156.453044 90.477031) + (xy 156.308699 90.387997) + (xy 156.147707 90.33465) + (xy 156.058494 90.325536) + (xy 156.048344 90.3245) + (xy 155.451655 90.3245) + (xy 155.352292 90.33465) + (xy 155.1913 90.387997) + (xy 155.046955 90.477031) + (xy 154.927031 90.596955) + (xy 154.837997 90.7413) + (xy 154.78465 90.902292) + (xy 154.7745 91.001655) + (xy 154.7745 91.548344) + (xy 154.78465 91.647707) + (xy 154.837996 91.808696) + (xy 154.880428 91.877488) + (xy 154.897417 91.923533) + (xy 154.895211 91.972562) + (xy 154.874156 92.016895) + (xy 154.806205 92.107666) + (xy 154.755909 92.242516) + (xy 154.7495 92.30213) + (xy 154.7495 94.197869) + (xy 154.755909 94.257484) + (xy 154.766042 94.284651) + (xy 154.806204 94.392331) + (xy 154.892454 94.507546) + (xy 155.007669 94.593796) + (xy 155.142517 94.644091) + (xy 155.202127 94.6505) + (xy 156.000499 94.650499) + (xy 156.062499 94.667112) + (xy 156.107886 94.712499) + (xy 156.124499 94.774499) + (xy 156.124499 98.379794) + (xy 156.120603 98.410629) + (xy 156.114025 98.436251) + (xy 156.0995 98.492821) + (xy 156.0995 99.765928) + (xy 156.114928 99.88806) + (xy 156.17543 100.040869) + (xy 156.175431 100.040871) + (xy 156.175432 100.040872) + (xy 156.264915 100.164035) + (xy 156.272038 100.173838) + (xy 156.356247 100.243503) + (xy 156.392736 100.294003) + (xy 156.400059 100.355874) + (xy 156.376367 100.413497) + (xy 156.327643 100.452325) + (xy 156.297271 100.465847) + (xy 156.1805 100.550686) + (xy 156.127012 100.57284) + (xy 156.069296 100.568298) + (xy 156.019934 100.538048) + (xy 155.989684 100.488685) + (xy 155.943268 100.34583) + (xy 155.855203 100.207061) + (xy 155.777165 100.133779) + (xy 155.735394 100.094553) + (xy 155.591366 100.015372) + (xy 155.493663 99.990287) + (xy 155.44546 99.965727) + (xy 155.412302 99.92298) + (xy 155.4005 99.870183) + (xy 155.4005 99.057744) + (xy 155.402764 99.037237) + (xy 155.402658 99.033878) + (xy 155.400561 98.967127) + (xy 155.4005 98.963232) + (xy 155.4005 98.935653) + (xy 155.399997 98.931672) + (xy 155.39908 98.920019) + (xy 155.399029 98.918384) + (xy 155.397709 98.876373) + (xy 155.39212 98.85714) + (xy 155.388174 98.838082) + (xy 155.385664 98.818206) + (xy 155.369588 98.777604) + (xy 155.365804 98.766553) + (xy 155.359881 98.746168) + (xy 155.353618 98.72461) + (xy 155.343414 98.707355) + (xy 155.334861 98.689895) + (xy 155.327486 98.671269) + (xy 155.327486 98.671268) + (xy 155.301808 98.635925) + (xy 155.295401 98.626171) + (xy 155.285458 98.609358) + (xy 155.27317 98.58858) + (xy 155.259005 98.574415) + (xy 155.246367 98.559617) + (xy 155.234595 98.543413) + (xy 155.200941 98.515573) + (xy 155.192299 98.507709) + (xy 153.836818 97.152227) + (xy 153.809938 97.111999) + (xy 153.800499 97.064546) + (xy 153.800499 96.474991) + (xy 153.789999 96.372203) + (xy 153.7887 96.368284) + (xy 153.734814 96.205666) + (xy 153.704465 96.156463) + (xy 153.68671 96.104567) + (xy 153.693078 96.050088) + (xy 153.722321 96.00369) + (xy 153.772968 95.953044) + (xy 153.772969 95.953041) + (xy 153.772971 95.95304) + (xy 153.862002 95.808699) + (xy 153.862056 95.808538) + (xy 153.915349 95.647708) + (xy 153.9255 95.548345) + (xy 153.925499 94.951656) + (xy 153.924546 94.942331) + (xy 153.915349 94.852292) + (xy 153.862002 94.6913) + (xy 153.772968 94.546955) + (xy 153.653044 94.427031) + (xy 153.508699 94.337997) + (xy 153.347707 94.28465) + (xy 153.258494 94.275536) + (xy 153.248344 94.2745) + (xy 152.701655 94.2745) + (xy 152.602292 94.28465) + (xy 152.4413 94.337997) + (xy 152.296955 94.427031) + (xy 152.177031 94.546955) + (xy 152.087997 94.6913) + (xy 152.03465 94.852292) + (xy 152.0245 94.951655) + (xy 152.0245 94.988847) + (xy 152.013031 95.040928) + (xy 152.01139 95.044475) + (xy 152.011094 95.045116) + (xy 152.009652 95.048125) + (xy 151.973356 95.12131) + (xy 151.973309 95.121502) + (xy 151.965525 95.143612) + (xy 151.965439 95.143797) + (xy 151.947873 95.223595) + (xy 151.947107 95.226861) + (xy 151.927399 95.306113) + (xy 151.927394 95.30631) + (xy 151.924545 95.329574) + (xy 151.9245 95.329779) + (xy 151.9245 95.411463) + (xy 151.924455 95.414821) + (xy 151.922243 95.496471) + (xy 151.922278 95.496653) + (xy 151.9245 95.520024) + (xy 151.9245 96.0005) + (xy 151.907887 96.0625) + (xy 151.8625 96.107887) + (xy 151.8005 96.1245) + (xy 151.670268 96.1245) + (xy 151.606118 96.106617) + (xy 151.602727 96.104567) + (xy 151.564606 96.081522) + (xy 151.402196 96.030914) + (xy 151.402193 96.030913) + (xy 151.331617 96.0245) + (xy 151.331616 96.0245) + (xy 150.818384 96.0245) + (xy 150.818383 96.0245) + (xy 150.747806 96.030913) + (xy 150.674137 96.053869) + (xy 150.585394 96.081522) + (xy 150.585392 96.081522) + (xy 150.585392 96.081523) + (xy 150.439813 96.169528) + (xy 150.337681 96.271661) + (xy 150.282094 96.303755) + (xy 150.217906 96.303755) + (xy 150.162319 96.271661) + (xy 150.060186 96.169528) + (xy 149.952727 96.104567) + (xy 149.914606 96.081522) + (xy 149.752196 96.030914) + (xy 149.752193 96.030913) + (xy 149.681617 96.0245) + (xy 149.681616 96.0245) + (xy 149.168384 96.0245) + (xy 149.168383 96.0245) + (xy 149.097806 96.030913) + (xy 149.024137 96.053869) + (xy 148.935394 96.081522) + (xy 148.935392 96.081522) + (xy 148.935392 96.081523) + (xy 148.893882 96.106617) + (xy 148.829732 96.1245) + (xy 148.224499 96.1245) + (xy 148.162499 96.107887) + (xy 148.117112 96.0625) + (xy 148.100499 96.0005) + (xy 148.100499 95.55213) + (xy 148.0991 95.539117) + (xy 148.094091 95.492517) + (xy 148.043796 95.357669) + (xy 147.957546 95.242454) + (xy 147.842331 95.156204) + (xy 147.707483 95.105909) + (xy 147.647873 95.0995) + (xy 147.647869 95.0995) + (xy 145.85213 95.0995) + (xy 145.792515 95.105909) + (xy 145.657669 95.156204) + (xy 145.542454 95.242454) + (xy 145.456204 95.357668) + (xy 145.405909 95.492516) + (xy 145.3995 95.55213) + (xy 145.3995 97.347869) + (xy 145.402659 97.377247) + (xy 145.390905 97.444682) + (xy 145.345162 97.495606) + (xy 145.279369 97.5145) + (xy 118.79274 97.5145) + (xy 118.772236 97.512236) + (xy 118.702144 97.514439) + (xy 118.69825 97.5145) + (xy 118.670648 97.5145) + (xy 118.666653 97.515004) + (xy 118.655029 97.515918) + (xy 118.611368 97.51729) + (xy 118.592128 97.52288) + (xy 118.573081 97.526825) + (xy 118.553209 97.529335) + (xy 118.512599 97.545413) + (xy 118.501554 97.549194) + (xy 118.459611 97.56138) + (xy 118.442369 97.571578) + (xy 118.424897 97.580138) + (xy 118.406266 97.587514) + (xy 118.370938 97.613181) + (xy 118.36118 97.619591) + (xy 118.323579 97.641829) + (xy 118.30941 97.655998) + (xy 118.294622 97.668628) + (xy 118.278413 97.680405) + (xy 118.250572 97.714058) + (xy 118.242711 97.722696) + (xy 117.837181 98.128228) + (xy 117.787818 98.158478) + (xy 117.730102 98.16302) + (xy 117.676615 98.140865) + (xy 117.639015 98.096842) + (xy 117.6255 98.040547) + (xy 117.6255 94.074499) + (xy 117.642113 94.012499) + (xy 117.6875 93.967112) + (xy 117.741421 93.952663) + (xy 117.741265 93.951209) + (xy 117.747869 93.950499) + (xy 117.747872 93.950499) + (xy 117.807483 93.944091) + (xy 117.942331 93.893796) + (xy 118.057546 93.807546) + (xy 118.143796 93.692331) + (xy 118.194091 93.557483) + (xy 118.2005 93.497873) + (xy 118.200499 91.802128) + (xy 118.194091 91.742517) + (xy 118.143796 91.607669) + (xy 118.057546 91.492454) + (xy 117.942331 91.406204) + (xy 117.807483 91.355909) + (xy 117.747873 91.3495) + (xy 117.747869 91.3495) + (xy 116.25213 91.3495) + (xy 116.192515 91.355909) + (xy 116.057669 91.406204) + (xy 115.942454 91.492454) + (xy 115.856204 91.607668) + (xy 115.805909 91.742516) + (xy 115.7995 91.80213) + (xy 115.7995 93.497869) + (xy 115.805909 93.557484) + (xy 115.824638 93.607698) + (xy 115.856204 93.692331) + (xy 115.942454 93.807546) + (xy 116.057669 93.893796) + (xy 116.192517 93.944091) + (xy 116.252127 93.9505) + (xy 116.252132 93.9505) + (xy 116.258735 93.95121) + (xy 116.258578 93.952664) + (xy 116.3125 93.967113) + (xy 116.357887 94.0125) + (xy 116.3745 94.0745) + (xy 116.3745 98.425501) + (xy 116.357887 98.487501) + (xy 116.3125 98.532888) + (xy 116.258578 98.547336) + (xy 116.258735 98.548791) + (xy 116.25213 98.549501) + (xy 116.252128 98.549501) + (xy 116.224345 98.552487) + (xy 116.192515 98.555909) + (xy 116.057669 98.606204) + (xy 115.942454 98.692454) + (xy 115.856204 98.807668) + (xy 115.829166 98.88016) + (xy 115.805909 98.942517) + (xy 115.799783 98.9995) + (xy 115.7995 99.00213) + (xy 115.7995 100.697869) + (xy 115.805909 100.757484) + (xy 115.818188 100.790405) + (xy 115.856204 100.892331) + (xy 115.942454 101.007546) + (xy 116.057669 101.093796) + (xy 116.192517 101.144091) + (xy 116.252127 101.1505) + (xy 117.747872 101.150499) + (xy 117.807483 101.144091) + (xy 117.942331 101.093796) + (xy 118.057546 101.007546) + (xy 118.143796 100.892331) + (xy 118.194091 100.757483) + (xy 118.2005 100.697873) + (xy 118.200499 99.585452) + (xy 118.209938 99.538) + (xy 118.236818 99.497772) + (xy 118.932772 98.801819) + (xy 118.973 98.774939) + (xy 119.020453 98.7655) + (xy 145.27866 98.7655) + (xy 145.343757 98.783962) + (xy 145.389471 98.833849) + (xy 145.402188 98.900308) + (xy 145.39434 98.99) + (xy 145.414936 99.225407) + (xy 145.43596 99.303868) + (xy 145.476097 99.453663) + (xy 145.575965 99.66783) + (xy 145.711505 99.861401) + (xy 145.878599 100.028495) + (xy 146.06416 100.158426) + (xy 146.103024 100.202743) + (xy 146.117035 100.26) + (xy 146.103024 100.317257) + (xy 146.064158 100.361575) + (xy 145.881506 100.48947) + (xy 145.878595 100.491508) + (xy 145.711505 100.658598) + (xy 145.575965 100.85217) + (xy 145.476097 101.066336) + (xy 145.414936 101.294592) + (xy 145.39434 101.529999) + (xy 145.414936 101.765406) + (xy 145.436152 101.844586) + (xy 145.46933 101.968408) + (xy 145.471172 102.024691) + (xy 145.447931 102.075986) + (xy 145.404399 102.111712) + (xy 145.349555 102.1245) + (xy 139.453747 102.1245) + (xy 139.403312 102.11378) + (xy 139.361598 102.083473) + (xy 139.355871 102.077112) + (xy 139.20273 101.965849) + (xy 139.202729 101.965848) + (xy 139.202727 101.965847) + (xy 139.029802 101.888855) + (xy 138.844648 101.8495) + (xy 138.844646 101.8495) + (xy 138.655354 101.8495) + (xy 138.655352 101.8495) + (xy 138.470197 101.888855) + (xy 138.297269 101.965848) + (xy 138.144129 102.07711) + (xy 138.017466 102.217783) + (xy 137.92282 102.381715) + (xy 137.864326 102.561742) + (xy 137.84454 102.75) + (xy 137.864326 102.938257) + (xy 137.92282 103.118284) + (xy 138.017466 103.282216) + (xy 138.144129 103.422889) + (xy 138.297269 103.534151) + (xy 138.470197 103.611144) + (xy 138.655352 103.6505) + (xy 138.655354 103.6505) + (xy 138.844646 103.6505) + (xy 138.844648 103.6505) + (xy 138.968084 103.624262) + (xy 139.029803 103.611144) + (xy 139.20273 103.534151) + (xy 139.355871 103.422888) + (xy 139.361598 103.416526) + (xy 139.403312 103.38622) + (xy 139.453747 103.3755) + (xy 147.167256 103.3755) + (xy 147.187762 103.377764) + (xy 147.190665 103.377672) + (xy 147.190667 103.377673) + (xy 147.257872 103.375561) + (xy 147.261768 103.3755) + (xy 147.289349 103.3755) + (xy 147.28935 103.3755) + (xy 147.293319 103.374998) + (xy 147.304965 103.37408) + (xy 147.348627 103.372709) + (xy 147.367859 103.36712) + (xy 147.386918 103.363174) + (xy 147.393196 103.362381) + (xy 147.406792 103.360664) + (xy 147.447407 103.344582) + (xy 147.458444 103.340803) + (xy 147.50039 103.328618) + (xy 147.517629 103.318422) + (xy 147.535102 103.309862) + (xy 147.553732 103.302486) + (xy 147.589064 103.276814) + (xy 147.59883 103.2704) + (xy 147.636418 103.248171) + (xy 147.636417 103.248171) + (xy 147.63642 103.24817) + (xy 147.650585 103.234004) + (xy 147.665373 103.221373) + (xy 147.681587 103.209594) + (xy 147.709438 103.175926) + (xy 147.717269 103.167319) + (xy 148.097774 102.786816) + (xy 148.138 102.759939) + (xy 148.185453 102.7505) + (xy 148.472485 102.7505) + (xy 148.5307 102.765015) + (xy 148.575286 102.80516) + (xy 148.595805 102.861536) + (xy 148.606972 102.967783) + (xy 148.614326 103.037757) + (xy 148.67282 103.217784) + (xy 148.767466 103.381716) + (xy 148.894129 103.522389) + (xy 149.047269 103.633651) + (xy 149.220197 103.710644) + (xy 149.405352 103.75) + (xy 149.607679 103.75) + (xy 149.607679 103.750409) + (xy 149.644277 103.750408) + (xy 149.691384 103.771379) + (xy 149.725888 103.809699) + (xy 149.767466 103.881715) + (xy 149.850308 103.97372) + (xy 149.87898 104.028798) + (xy 149.877354 104.090871) + (xy 149.845839 104.144373) + (xy 147.652032 106.338181) + (xy 147.611804 106.365061) + (xy 147.564351 106.3745) + (xy 130.035452 106.3745) + (xy 129.987999 106.365061) + (xy 129.947771 106.338181) + (xy 126.965237 103.355646) + (xy 126.933143 103.300058) + (xy 126.933143 103.235872) + (xy 126.960063 103.135408) + (xy 126.980659 102.9) + (xy 126.960063 102.664592) + (xy 126.898903 102.436337) + (xy 126.799035 102.222171) + (xy 126.663495 102.028599) + (xy 126.496401 101.861505) + (xy 126.30283 101.725965) + (xy 126.088663 101.626097) + (xy 126.027501 101.609709) + (xy 125.860407 101.564936) + (xy 125.624999 101.54434) + (xy 125.389592 101.564936) + (xy 125.161336 101.626097) + (xy 124.94717 101.725965) + (xy 124.753598 101.861505) + (xy 124.586505 102.028598) + (xy 124.450965 102.22217) + (xy 124.351097 102.436336) + (xy 124.289936 102.664592) + (xy 124.26934 102.899999) + (xy 124.289936 103.135407) + (xy 124.326905 103.273377) + (xy 124.351097 103.363663) + (xy 124.450965 103.57783) + (xy 124.586505 103.771401) + (xy 124.753599 103.938495) + (xy 124.93916 104.068426) + (xy 124.978024 104.112743) + (xy 124.992035 104.17) + (xy 124.978024 104.227257) + (xy 124.939158 104.271575) + (xy 124.755035 104.4005) + (xy 124.753595 104.401508) + (xy 124.586505 104.568598) + (xy 124.450965 104.76217) + (xy 124.351097 104.976336) + (xy 124.289936 105.204592) + (xy 124.26934 105.44) + (xy 124.289936 105.675407) + (xy 124.316856 105.775872) + (xy 124.351097 105.903663) + (xy 124.450965 106.11783) + (xy 124.586505 106.311401) + (xy 124.753599 106.478495) + (xy 124.93916 106.608426) + (xy 124.978024 106.652743) + (xy 124.992035 106.71) + (xy 124.978024 106.767257) + (xy 124.939158 106.811575) + (xy 124.763231 106.934761) + (xy 124.753595 106.941508) + (xy 124.586505 107.108598) + (xy 124.450965 107.30217) + (xy 124.351097 107.516336) + (xy 124.289936 107.744592) + (xy 124.26934 107.979999) + (xy 124.289936 108.215407) + (xy 124.329277 108.36223) + (xy 124.351097 108.443663) + (xy 124.450965 108.65783) + (xy 124.586505 108.851401) + (xy 124.753599 109.018495) + (xy 124.93916 109.148426) + (xy 124.978024 109.192743) + (xy 124.992035 109.25) + (xy 124.978024 109.307257) + (xy 124.939158 109.351575) + (xy 124.755469 109.480196) + (xy 124.753595 109.481508) + (xy 124.586505 109.648598) + (xy 124.450965 109.84217) + (xy 124.351097 110.056336) + (xy 124.289936 110.284592) + (xy 124.26934 110.52) + (xy 124.289936 110.755407) + (xy 124.306681 110.817899) + (xy 124.351097 110.983663) + (xy 124.450965 111.19783) + (xy 124.586505 111.391401) + (xy 124.753599 111.558495) + (xy 124.93916 111.688426) + (xy 124.978024 111.732743) + (xy 124.992035 111.79) + (xy 124.978024 111.847257) + (xy 124.939159 111.891575) + (xy 124.753595 112.021508) + (xy 124.586505 112.188598) + (xy 124.450965 112.38217) + (xy 124.351097 112.596336) + (xy 124.289936 112.824592) + (xy 124.26934 113.059999) + (xy 124.289936 113.295407) + (xy 124.334055 113.460059) + (xy 124.351097 113.523663) + (xy 124.450965 113.73783) + (xy 124.586505 113.931401) + (xy 124.586508 113.931404) + (xy 124.70843 114.053326) + (xy 124.739726 114.106072) + (xy 124.741915 114.167365) + (xy 124.714462 114.222209) + (xy 124.664083 114.257189) + (xy 124.532669 114.306204) + (xy 124.417454 114.392454) + (xy 124.331204 114.507668) + (xy 124.28209 114.639349) + (xy 124.280909 114.642517) + (xy 124.274877 114.698627) + (xy 124.2745 114.70213) + (xy 124.2745 116.497869) + (xy 124.280909 116.557484) + (xy 124.302226 116.614637) + (xy 124.331204 116.692331) + (xy 124.417454 116.807546) + (xy 124.532669 116.893796) + (xy 124.667517 116.944091) + (xy 124.727127 116.9505) + (xy 126.522872 116.950499) + (xy 126.582483 116.944091) + (xy 126.717331 116.893796) + (xy 126.832546 116.807546) + (xy 126.918796 116.692331) + (xy 126.969091 116.557483) + (xy 126.9755 116.497873) + (xy 126.9755 116.3495) + (xy 126.992113 116.2875) + (xy 127.0375 116.242113) + (xy 127.0995 116.2255) + (xy 153.16313 116.2255) + (xy 153.225129 116.242112) + (xy 153.257063 116.274045) + (xy 153.258746 116.272531) + (xy 153.394129 116.422889) + (xy 153.547269 116.534151) + (xy 153.720197 116.611144) + (xy 153.905352 116.6505) + (xy 153.905354 116.6505) + (xy 154.094646 116.6505) + (xy 154.094648 116.6505) + (xy 154.251678 116.617122) + (xy 154.279803 116.611144) + (xy 154.45273 116.534151) + (xy 154.485886 116.510062) + (xy 154.60587 116.422889) + (xy 154.641361 116.383473) + (xy 154.732533 116.282216) + (xy 154.827179 116.118284) + (xy 154.885674 115.938256) + (xy 154.90546 115.75) + (xy 154.885674 115.561744) + (xy 154.849204 115.449501) + (xy 154.827179 115.381715) + (xy 154.732533 115.217783) + (xy 154.60587 115.07711) + (xy 154.45273 114.965848) + (xy 154.279802 114.888855) + (xy 154.094648 114.8495) + (xy 154.094646 114.8495) + (xy 153.905354 114.8495) + (xy 153.905352 114.8495) + (xy 153.720197 114.888855) + (xy 153.551919 114.963779) + (xy 153.501483 114.9745) + (xy 143.114148 114.9745) + (xy 143.057853 114.960985) + (xy 143.01383 114.923385) + (xy 142.991675 114.869898) + (xy 142.996217 114.812182) + (xy 143.026467 114.762819) + (xy 143.181413 114.607873) + (xy 143.883312 113.905972) + (xy 143.940993 113.873338) + (xy 144.007247 113.875074) + (xy 144.063141 113.910684) + (xy 144.07413 113.922888) + (xy 144.074132 113.92289) + (xy 144.22727 114.034151) + (xy 144.400198 114.111144) + (xy 144.585353 114.1505) + (xy 144.585355 114.1505) + (xy 144.774647 114.1505) + (xy 144.774648 114.1505) + (xy 144.879514 114.12821) + (xy 144.905295 114.1255) + (xy 156.654784 114.1255) + (xy 156.797465 114.1255) + (xy 157.575223 114.1255) + (xy 157.630383 114.138444) + (xy 157.674027 114.174575) + (xy 157.743633 114.266366) + (xy 157.800692 114.309634) + (xy 157.857752 114.352904) + (xy 157.924368 114.379174) + (xy 157.990985 114.405445) + (xy 158.07471 114.4155) + (xy 158.074714 114.4155) + (xy 158.525286 114.4155) + (xy 158.525287 114.4155) + (xy 158.53344 114.41452) + (xy 158.609014 114.405445) + (xy 158.609018 114.405443) + (xy 158.610212 114.4053) + (xy 158.639785 114.4053) + (xy 158.640983 114.405443) + (xy 158.640986 114.405445) + (xy 158.704527 114.413075) + (xy 158.724712 114.4155) + (xy 158.724714 114.4155) + (xy 158.848229 114.4155) + (xy 158.909101 114.43147) + (xy 158.954294 114.475265) + (xy 158.972168 114.535605) + (xy 158.974439 114.607873) + (xy 158.9745 114.611768) + (xy 158.9745 114.639349) + (xy 158.975003 114.643334) + (xy 158.975918 114.654967) + (xy 158.97729 114.698626) + (xy 158.982879 114.71786) + (xy 158.986825 114.736916) + (xy 158.989335 114.756792) + (xy 159.005414 114.797404) + (xy 159.009197 114.808451) + (xy 159.021382 114.850391) + (xy 159.03158 114.867635) + (xy 159.040136 114.8851) + (xy 159.047514 114.903732) + (xy 159.047515 114.903733) + (xy 159.07318 114.939059) + (xy 159.079593 114.948822) + (xy 159.101826 114.986416) + (xy 159.101829 114.986419) + (xy 159.10183 114.98642) + (xy 159.115995 115.000585) + (xy 159.128627 115.015375) + (xy 159.140406 115.031587) + (xy 159.174058 115.059426) + (xy 159.182699 115.067289) + (xy 160.252883 116.137474) + (xy 160.284634 116.191809) + (xy 160.285623 116.254733) + (xy 160.255595 116.310038) + (xy 160.202284 116.34348) + (xy 160.139422 116.346446) + (xy 160.116246 116.34152) + (xy 160.095221 116.337051) + (xy 159.905929 116.337051) + (xy 159.905927 116.337051) + (xy 159.720776 116.376405) + (xy 159.538627 116.457504) + (xy 159.488191 116.468224) + (xy 159.437755 116.457503) + (xy 159.271482 116.383473) + (xy 159.086328 116.344118) + (xy 159.086326 116.344118) + (xy 158.897034 116.344118) + (xy 158.897032 116.344118) + (xy 158.711877 116.383473) + (xy 158.538949 116.460466) + (xy 158.385809 116.571728) + (xy 158.259146 116.712401) + (xy 158.1645 116.876333) + (xy 158.106006 117.05636) + (xy 158.106005 117.056362) + (xy 158.106006 117.056362) + (xy 158.088904 117.219086) + (xy 158.088359 117.224267) + (xy 158.076959 117.264688) + (xy 158.052719 117.298986) + (xy 157.916208 117.435497) + (xy 157.90011 117.448394) + (xy 157.852096 117.499523) + (xy 157.849391 117.502315) + (xy 157.829874 117.521832) + (xy 157.827415 117.525003) + (xy 157.819842 117.53387) + (xy 157.789935 117.565718) + (xy 157.780285 117.583272) + (xy 157.769609 117.599526) + (xy 157.757326 117.615361) + (xy 157.739975 117.655456) + (xy 157.734838 117.665942) + (xy 157.713802 117.704206) + (xy 157.708821 117.723607) + (xy 157.702521 117.742008) + (xy 157.694562 117.7604) + (xy 157.691833 117.777632) + (xy 157.668167 117.83315) + (xy 157.657097 117.847748) + (xy 157.604553 117.980989) + (xy 157.5945 118.06471) + (xy 157.5945 119.67529) + (xy 157.604554 119.759014) + (xy 157.657095 119.892246) + (xy 157.657096 119.892248) + (xy 157.659271 119.895116) + (xy 157.743633 120.006366) + (xy 157.790492 120.0419) + (xy 157.823546 120.079741) + (xy 157.838872 120.127591) + (xy 157.833953 120.177594) + (xy 157.780913 120.347806) + (xy 157.7745 120.418383) + (xy 157.7745 120.931617) + (xy 157.780913 121.002193) + (xy 157.780914 121.002196) + (xy 157.831522 121.164606) + (xy 157.831523 121.164607) + (xy 157.919528 121.310186) + (xy 158.021661 121.412319) + (xy 158.053755 121.467906) + (xy 158.053755 121.532094) + (xy 158.021661 121.587681) + (xy 157.919528 121.689813) + (xy 157.831523 121.835392) + (xy 157.780913 121.997806) + (xy 157.775274 122.059862) + (xy 157.7745 122.068384) + (xy 157.7745 122.581616) + (xy 157.780914 122.652196) + (xy 157.791964 122.687658) + (xy 157.829239 122.807281) + (xy 157.831297 122.873652) + (xy 157.798816 122.931569) + (xy 157.741109 122.964422) + (xy 157.674726 122.962791) + (xy 157.618703 122.927142) + (xy 157.551595 122.85261) + (xy 157.398455 122.741348) + (xy 157.225527 122.664355) + (xy 157.040373 122.625) + (xy 157.040371 122.625) + (xy 156.851079 122.625) + (xy 156.851077 122.625) + (xy 156.665922 122.664355) + (xy 156.492994 122.741348) + (xy 156.339854 122.85261) + (xy 156.213191 122.993283) + (xy 156.118545 123.157215) + (xy 156.060051 123.337242) + (xy 156.06005 123.337244) + (xy 156.060051 123.337244) + (xy 156.04153 123.513463) + (xy 156.021011 123.56984) + (xy 155.976425 123.609985) + (xy 155.91821 123.6245) + (xy 152.079019 123.6245) + (xy 151.379882 123.6245) + (xy 151.317882 123.607887) + (xy 151.272495 123.5625) + (xy 151.232533 123.493283) + (xy 151.10587 123.35261) + (xy 150.95273 123.241348) + (xy 150.779802 123.164355) + (xy 150.594648 123.125) + (xy 150.594646 123.125) + (xy 150.405354 123.125) + (xy 150.405352 123.125) + (xy 150.220197 123.164355) + (xy 150.047269 123.241348) + (xy 149.894129 123.35261) + (xy 149.767466 123.493283) + (xy 149.67282 123.657215) + (xy 149.638184 123.763818) + (xy 149.612403 123.808472) + (xy 149.570688 123.83878) + (xy 149.520253 123.8495) + (xy 149.405352 123.8495) + (xy 149.220197 123.888855) + (xy 149.047269 123.965848) + (xy 148.894129 124.07711) + (xy 148.767466 124.217783) + (xy 148.67282 124.381715) + (xy 148.614326 124.561742) + (xy 148.593178 124.762961) + (xy 148.588817 124.762502) + (xy 148.581393 124.804792) + (xy 148.535651 124.855639) + (xy 148.46991 124.8745) + (xy 132.475294 124.8745) + (xy 132.449513 124.87179) + (xy 132.344647 124.8495) + (xy 132.344646 124.8495) + (xy 132.155354 124.8495) + (xy 132.155352 124.8495) + (xy 131.970197 124.888855) + (xy 131.797269 124.965848) + (xy 131.644129 125.07711) + (xy 131.517466 125.217783) + (xy 131.42282 125.381715) + (xy 131.364326 125.561742) + (xy 131.34454 125.75) + (xy 131.364326 125.938257) + (xy 131.42282 126.118284) + (xy 131.517466 126.282216) + (xy 131.644129 126.422889) + (xy 131.797269 126.534151) + (xy 131.970197 126.611144) + (xy 132.155352 126.6505) + (xy 132.155354 126.6505) + (xy 132.344646 126.6505) + (xy 132.344647 126.6505) + (xy 132.449513 126.62821) + (xy 132.475294 126.6255) + (xy 141.5155 126.6255) + (xy 141.5775 126.642113) + (xy 141.622887 126.6875) + (xy 141.6395 126.7495) + (xy 141.6395 128.347869) + (xy 141.645909 128.407483) + (xy 141.696204 128.542331) + (xy 141.782454 128.657546) + (xy 141.897669 128.743796) + (xy 142.032517 128.794091) + (xy 142.092127 128.8005) + (xy 143.787872 128.800499) + (xy 143.847483 128.794091) + (xy 143.982331 128.743796) + (xy 144.097546 128.657546) + (xy 144.183796 128.542331) + (xy 144.234091 128.407483) + (xy 144.237862 128.372405) + (xy 144.258239 128.316486) + (xy 144.302328 128.276501) + (xy 144.359969 128.261667) + (xy 144.417882 128.2754) + (xy 144.462725 128.314537) + (xy 144.479951 128.339138) + (xy 144.640859 128.500046) + (xy 144.827264 128.630567) + (xy 144.827265 128.630567) + (xy 144.827266 128.630568) + (xy 145.033504 128.726739) + (xy 145.253308 128.785635) + (xy 145.48 128.805468) + (xy 145.484302 128.805091) + (xy 145.53752 128.812096) + (xy 145.582794 128.840938) + (xy 146.3322 129.590344) + (xy 146.339016 129.597736) + (xy 146.374369 129.639357) + (xy 146.439428 129.688813) + (xy 146.442012 129.690832) + (xy 146.454771 129.701089) + (xy 146.5057 129.742028) + (xy 146.505701 129.742028) + (xy 146.505703 129.74203) + (xy 146.505869 129.742112) + (xy 146.525819 129.754486) + (xy 146.525971 129.754602) + (xy 146.525972 129.754602) + (xy 146.525973 129.754603) + (xy 146.544038 129.762961) + (xy 146.594605 129.805913) + (xy 146.61579 129.868787) + (xy 146.601527 129.933583) + (xy 146.555901 129.98175) + (xy 146.491972 129.9995) + (xy 116.773458 129.9995) + (xy 116.726005 129.990061) + (xy 116.347216 129.833161) + (xy 116.306988 129.806281) + (xy 115.193717 128.693009) + (xy 115.166838 128.652782) + (xy 115.009938 128.273994) + (xy 115.0005 128.226542) + (xy 115.0005 78.523458) + (xy 115.009939 78.476006) + (xy 115.107715 78.239953) + (xy 115.166839 78.097214) + (xy 115.193713 78.056994) + (xy 116.306994 76.943712) + (xy 116.347211 76.91684) + (xy 116.648052 76.792227) + (xy 116.726006 76.759939) + (xy 116.773458 76.7505) + (xy 179.226542 76.7505) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 159.441266 126.890785) + (xy 159.486204 126.932873) + (xy 159.505358 126.991388) + (xy 159.495691 127.042923) + (xy 159.496069 127.043025) + (xy 159.494748 127.047951) + (xy 159.494008 127.051902) + (xy 159.493261 127.053503) + (xy 159.434364 127.27331) + (xy 159.414531 127.5) + (xy 159.414908 127.504306) + (xy 159.407902 127.557523) + (xy 159.379061 127.602793) + (xy 158.893675 128.088181) + (xy 158.853447 128.115061) + (xy 158.805994 128.1245) + (xy 155.014006 128.1245) + (xy 154.966553 128.115061) + (xy 154.926325 128.088181) + (xy 154.440938 127.602794) + (xy 154.412096 127.55752) + (xy 154.405091 127.504302) + (xy 154.405468 127.5) + (xy 154.385635 127.273308) + (xy 154.326739 127.053504) + (xy 154.325992 127.051902) + (xy 154.325251 127.047951) + (xy 154.323931 127.043025) + (xy 154.324308 127.042923) + (xy 154.314642 126.991388) + (xy 154.333796 126.932873) + (xy 154.378734 126.890785) + (xy 154.438376 126.8755) + (xy 159.381624 126.8755) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 167.680263 120.443435) + (xy 167.725917 120.492051) + (xy 167.739783 120.557286) + (xy 167.717851 120.620268) + (xy 167.666464 120.662779) + (xy 167.547269 120.715848) + (xy 167.394129 120.82711) + (xy 167.267466 120.967783) + (xy 167.17282 121.131715) + (xy 167.114326 121.311742) + (xy 167.09454 121.5) + (xy 167.114326 121.688257) + (xy 167.17282 121.868284) + (xy 167.267466 122.032216) + (xy 167.394129 122.172889) + (xy 167.547269 122.284151) + (xy 167.720197 122.361144) + (xy 167.805189 122.37921) + (xy 167.859113 122.40551) + (xy 167.894379 122.454049) + (xy 167.902729 122.513461) + (xy 167.882209 122.56984) + (xy 167.837623 122.609985) + (xy 167.779408 122.6245) + (xy 166.203747 122.6245) + (xy 166.153312 122.61378) + (xy 166.111598 122.583473) + (xy 166.105871 122.577112) + (xy 165.95273 122.465849) + (xy 165.952729 122.465848) + (xy 165.952727 122.465847) + (xy 165.779802 122.388855) + (xy 165.594648 122.3495) + (xy 165.594646 122.3495) + (xy 165.4995 122.3495) + (xy 165.4375 122.332887) + (xy 165.392113 122.2875) + (xy 165.3755 122.2255) + (xy 165.3755 120.810452) + (xy 165.384939 120.762999) + (xy 165.411819 120.722771) + (xy 165.672772 120.461819) + (xy 165.713 120.434939) + (xy 165.760453 120.4255) + (xy 167.616028 120.4255) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 163.109314 116.891494) + (xy 163.154514 116.935349) + (xy 163.169528 116.960185) + (xy 163.16953 116.960188) + (xy 163.289813 117.080471) + (xy 163.289815 117.080472) + (xy 163.435394 117.168478) + (xy 163.597804 117.219086) + (xy 163.629331 117.221951) + (xy 163.668383 117.2255) + (xy 163.668384 117.2255) + (xy 164.181616 117.2255) + (xy 164.181617 117.2255) + (xy 164.199261 117.223896) + (xy 164.252196 117.219086) + (xy 164.414606 117.168478) + (xy 164.560185 117.080472) + (xy 164.60284 117.037817) + (xy 164.662319 116.978339) + (xy 164.717906 116.946245) + (xy 164.782094 116.946245) + (xy 164.837681 116.978339) + (xy 164.939813 117.080471) + (xy 164.939815 117.080472) + (xy 165.085394 117.168478) + (xy 165.247804 117.219086) + (xy 165.279331 117.221951) + (xy 165.318383 117.2255) + (xy 165.567755 117.2255) + (xy 165.62405 117.239015) + (xy 165.668073 117.276615) + (xy 165.690228 117.330102) + (xy 165.685686 117.387818) + (xy 165.655436 117.437181) + (xy 163.466208 119.626407) + (xy 163.45011 119.639304) + (xy 163.402096 119.690433) + (xy 163.399391 119.693225) + (xy 163.379874 119.712742) + (xy 163.377415 119.715913) + (xy 163.369842 119.72478) + (xy 163.339935 119.756628) + (xy 163.330285 119.774182) + (xy 163.319609 119.790436) + (xy 163.307326 119.806271) + (xy 163.289975 119.846366) + (xy 163.284838 119.856852) + (xy 163.263802 119.895115) + (xy 163.258821 119.914517) + (xy 163.25252 119.932919) + (xy 163.244561 119.95131) + (xy 163.237728 119.99445) + (xy 163.23536 120.005882) + (xy 163.2245 120.048186) + (xy 163.2245 120.068224) + (xy 163.222973 120.087623) + (xy 163.21984 120.107402) + (xy 163.22395 120.150883) + (xy 163.2245 120.162552) + (xy 163.2245 121.5755) + (xy 163.207887 121.6375) + (xy 163.1625 121.682887) + (xy 163.1005 121.6995) + (xy 162.64152 121.6995) + (xy 162.594067 121.690061) + (xy 162.553839 121.663181) + (xy 162.478339 121.587681) + (xy 162.446245 121.532094) + (xy 162.446245 121.467906) + (xy 162.478339 121.412319) + (xy 162.580471 121.310186) + (xy 162.586142 121.300805) + (xy 162.668478 121.164606) + (xy 162.719086 121.002196) + (xy 162.7255 120.931616) + (xy 162.7255 120.418384) + (xy 162.719086 120.347804) + (xy 162.668478 120.185394) + (xy 162.585534 120.048189) + (xy 162.580471 120.039813) + (xy 162.460186 119.919528) + (xy 162.310518 119.829051) + (xy 162.275569 119.797469) + (xy 162.254921 119.755131) + (xy 162.251553 119.70815) + (xy 162.2555 119.675286) + (xy 162.2555 118.064714) + (xy 162.251582 118.032092) + (xy 162.245445 117.980985) + (xy 162.212791 117.898181) + (xy 162.192904 117.847752) + (xy 162.126665 117.760402) + (xy 162.106366 117.733633) + (xy 161.992247 117.647095) + (xy 161.946101 117.628897) + (xy 161.896119 117.592669) + (xy 161.869799 117.536832) + (xy 161.87366 117.475228) + (xy 161.885674 117.438256) + (xy 161.90546 117.25) + (xy 161.885674 117.061744) + (xy 161.885673 117.06174) + (xy 161.8779 117.037817) + (xy 161.873358 116.980101) + (xy 161.895513 116.926614) + (xy 161.939536 116.889015) + (xy 161.995831 116.8755) + (xy 163.048398 116.8755) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 173.062817 106.466521) + (xy 173.11218 106.496771) + (xy 173.499196 106.883787) + (xy 173.512096 106.899888) + (xy 173.563223 106.9479) + (xy 173.566019 106.95061) + (xy 173.585529 106.97012) + (xy 173.588711 106.972588) + (xy 173.597571 106.980155) + (xy 173.618702 106.999999) + (xy 173.629418 107.010062) + (xy 173.64697 107.019711) + (xy 173.663238 107.030397) + (xy 173.679064 107.042673) + (xy 173.719146 107.060017) + (xy 173.729633 107.065155) + (xy 173.767907 107.086197) + (xy 173.77641 107.088379) + (xy 173.787308 107.091178) + (xy 173.805713 107.097478) + (xy 173.824104 107.105437) + (xy 173.86725 107.11227) + (xy 173.878668 107.114635) + (xy 173.920981 107.1255) + (xy 173.941016 107.1255) + (xy 173.960415 107.127027) + (xy 173.980196 107.13016) + (xy 174.023674 107.12605) + (xy 174.035344 107.1255) + (xy 177.067256 107.1255) + (xy 177.087762 107.127764) + (xy 177.090665 107.127672) + (xy 177.090667 107.127673) + (xy 177.157872 107.125561) + (xy 177.161768 107.1255) + (xy 177.189349 107.1255) + (xy 177.18935 107.1255) + (xy 177.193319 107.124998) + (xy 177.204965 107.12408) + (xy 177.248627 107.122709) + (xy 177.267859 107.11712) + (xy 177.286918 107.113174) + (xy 177.294099 107.112267) + (xy 177.306792 107.110664) + (xy 177.347407 107.094582) + (xy 177.358444 107.090803) + (xy 177.40039 107.078618) + (xy 177.417629 107.068422) + (xy 177.435102 107.059862) + (xy 177.453732 107.052486) + (xy 177.489064 107.026814) + (xy 177.49883 107.0204) + (xy 177.516311 107.010062) + (xy 177.53642 106.99817) + (xy 177.550585 106.984004) + (xy 177.565373 106.971373) + (xy 177.581587 106.959594) + (xy 177.609438 106.925926) + (xy 177.61728 106.917308) + (xy 177.66282 106.871768) + (xy 177.712183 106.84152) + (xy 177.769899 106.836978) + (xy 177.823386 106.859133) + (xy 177.860985 106.903156) + (xy 177.8745 106.959451) + (xy 177.8745 110.925501) + (xy 177.857887 110.987501) + (xy 177.8125 111.032888) + (xy 177.758578 111.047336) + (xy 177.758735 111.048791) + (xy 177.75213 111.049501) + (xy 177.752128 111.049501) + (xy 177.724345 111.052487) + (xy 177.692515 111.055909) + (xy 177.557669 111.106204) + (xy 177.442454 111.192454) + (xy 177.356204 111.307668) + (xy 177.305909 111.442516) + (xy 177.2995 111.50213) + (xy 177.2995 113.197869) + (xy 177.305909 113.257484) + (xy 177.356204 113.392332) + (xy 177.381176 113.42569) + (xy 177.403075 113.473642) + (xy 177.403075 113.526358) + (xy 177.381176 113.57431) + (xy 177.356205 113.607666) + (xy 177.305909 113.742516) + (xy 177.2995 113.802131) + (xy 177.2995 114.914546) + (xy 177.290061 114.961999) + (xy 177.263181 115.002227) + (xy 176.927228 115.338181) + (xy 176.887 115.365061) + (xy 176.839547 115.3745) + (xy 174.310453 115.3745) + (xy 174.263 115.365061) + (xy 174.222772 115.338181) + (xy 173.000802 114.116211) + (xy 172.987905 114.100113) + (xy 172.986109 114.098426) + (xy 172.984515 114.095881) + (xy 172.983783 114.094967) + (xy 172.97739 114.084892) + (xy 172.977564 114.084781) + (xy 172.952608 114.044925) + (xy 172.944534 114.019014) + (xy 172.918478 113.935394) + (xy 172.830472 113.789815) + (xy 172.830471 113.789813) + (xy 172.710186 113.669528) + (xy 172.594345 113.5995) + (xy 172.564606 113.581522) + (xy 172.402196 113.530914) + (xy 172.402193 113.530913) + (xy 172.331617 113.5245) + (xy 172.331616 113.5245) + (xy 171.818384 113.5245) + (xy 171.818383 113.5245) + (xy 171.747806 113.530913) + (xy 171.666599 113.556217) + (xy 171.585394 113.581522) + (xy 171.585392 113.581522) + (xy 171.585392 113.581523) + (xy 171.439813 113.669528) + (xy 171.337681 113.771661) + (xy 171.282094 113.803755) + (xy 171.217906 113.803755) + (xy 171.162319 113.771661) + (xy 171.060186 113.669528) + (xy 170.944345 113.5995) + (xy 170.914606 113.581522) + (xy 170.752196 113.530914) + (xy 170.752193 113.530913) + (xy 170.681617 113.5245) + (xy 170.681616 113.5245) + (xy 170.168384 113.5245) + (xy 170.168383 113.5245) + (xy 170.097806 113.530913) + (xy 170.016599 113.556217) + (xy 169.935394 113.581522) + (xy 169.935392 113.581522) + (xy 169.935392 113.581523) + (xy 169.781675 113.674448) + (xy 169.749959 113.695437) + (xy 169.701347 113.704444) + (xy 169.653081 113.693743) + (xy 169.5298 113.638854) + (xy 169.344648 113.5995) + (xy 169.344646 113.5995) + (xy 169.155354 113.5995) + (xy 169.155352 113.5995) + (xy 169.025281 113.627147) + (xy 168.970552 113.626431) + (xy 168.921464 113.602223) + (xy 168.887579 113.55924) + (xy 168.8755 113.505857) + (xy 168.8755 112.810452) + (xy 168.884939 112.762999) + (xy 168.911819 112.722771) + (xy 169.222772 112.411819) + (xy 169.263 112.384939) + (xy 169.310453 112.3755) + (xy 171.417256 112.3755) + (xy 171.437762 112.377764) + (xy 171.440665 112.377672) + (xy 171.440667 112.377673) + (xy 171.507872 112.375561) + (xy 171.511768 112.3755) + (xy 171.539349 112.3755) + (xy 171.53935 112.3755) + (xy 171.543319 112.374998) + (xy 171.554965 112.37408) + (xy 171.598627 112.372709) + (xy 171.617859 112.36712) + (xy 171.636918 112.363174) + (xy 171.643196 112.362381) + (xy 171.656792 112.360664) + (xy 171.697407 112.344582) + (xy 171.708444 112.340803) + (xy 171.75039 112.328618) + (xy 171.767629 112.318422) + (xy 171.785102 112.309862) + (xy 171.803732 112.302486) + (xy 171.839064 112.276814) + (xy 171.84883 112.2704) + (xy 171.886418 112.248171) + (xy 171.886417 112.248171) + (xy 171.88642 112.24817) + (xy 171.900585 112.234004) + (xy 171.915373 112.221373) + (xy 171.931587 112.209594) + (xy 171.959438 112.175926) + (xy 171.967279 112.167309) + (xy 172.333786 111.800802) + (xy 172.349881 111.78791) + (xy 172.351873 111.785788) + (xy 172.351877 111.785786) + (xy 172.397948 111.736723) + (xy 172.400566 111.734023) + (xy 172.42012 111.714471) + (xy 172.422581 111.711298) + (xy 172.430156 111.702427) + (xy 172.460062 111.670582) + (xy 172.469717 111.653018) + (xy 172.480394 111.636764) + (xy 172.492673 111.620936) + (xy 172.508497 111.584365) + (xy 172.536902 111.543704) + (xy 172.578965 111.517429) + (xy 172.642331 111.493796) + (xy 172.757546 111.407546) + (xy 172.843796 111.292331) + (xy 172.894091 111.157483) + (xy 172.9005 111.097873) + (xy 172.900499 109.502128) + (xy 172.894091 109.442517) + (xy 172.843796 109.307669) + (xy 172.757546 109.192454) + (xy 172.642331 109.106204) + (xy 172.507483 109.055909) + (xy 172.447873 109.0495) + (xy 172.447869 109.0495) + (xy 172.384451 109.0495) + (xy 172.328156 109.035985) + (xy 172.284133 108.998386) + (xy 172.261978 108.944899) + (xy 172.26652 108.887183) + (xy 172.296769 108.83782) + (xy 172.313152 108.821437) + (xy 172.333785 108.800803) + (xy 172.349881 108.78791) + (xy 172.351873 108.785788) + (xy 172.351877 108.785786) + (xy 172.397948 108.736723) + (xy 172.400566 108.734023) + (xy 172.42012 108.714471) + (xy 172.422581 108.711298) + (xy 172.430156 108.702427) + (xy 172.460062 108.670582) + (xy 172.469717 108.653018) + (xy 172.480394 108.636764) + (xy 172.492673 108.620936) + (xy 172.510018 108.580852) + (xy 172.51516 108.570356) + (xy 172.536197 108.532092) + (xy 172.541179 108.512684) + (xy 172.547483 108.494275) + (xy 172.555437 108.475896) + (xy 172.555437 108.475893) + (xy 172.560101 108.465117) + (xy 172.588504 108.424457) + (xy 172.630566 108.398183) + (xy 172.642331 108.393796) + (xy 172.757546 108.307546) + (xy 172.843796 108.192331) + (xy 172.894091 108.057483) + (xy 172.9005 107.997873) + (xy 172.900499 106.584451) + (xy 172.914014 106.528157) + (xy 172.951614 106.484134) + (xy 173.005101 106.461979) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 151.77305 105.995397) + (xy 151.829037 106.035517) + (xy 151.854979 106.099323) + (xy 151.864326 106.188257) + (xy 151.92282 106.368284) + (xy 152.017464 106.532213) + (xy 152.017467 106.532216) + (xy 152.107479 106.632184) + (xy 152.136618 106.689373) + (xy 152.133259 106.753472) + (xy 152.114326 106.81174) + (xy 152.09454 106.999999) + (xy 152.114326 107.188257) + (xy 152.17282 107.368284) + (xy 152.267466 107.532216) + (xy 152.394129 107.672889) + (xy 152.547269 107.784151) + (xy 152.720197 107.861144) + (xy 152.905352 107.9005) + (xy 152.905354 107.9005) + (xy 153.094646 107.9005) + (xy 153.094648 107.9005) + (xy 153.218083 107.874262) + (xy 153.279803 107.861144) + (xy 153.45273 107.784151) + (xy 153.605871 107.672888) + (xy 153.732533 107.532216) + (xy 153.827179 107.368284) + (xy 153.885674 107.188256) + (xy 153.903322 107.020341) + (xy 153.91472 106.979927) + (xy 153.938955 106.945634) + (xy 154.072775 106.811814) + (xy 154.113 106.784938) + (xy 154.160453 106.775499) + (xy 155.390925 106.775499) + (xy 155.390926 106.775499) + (xy 155.469874 106.765525) + (xy 155.529242 106.772551) + (xy 155.578425 106.806542) + (xy 155.605986 106.859596) + (xy 155.605518 106.919381) + (xy 155.599501 106.942819) + (xy 155.599501 108.215928) + (xy 155.615068 108.339159) + (xy 155.610502 108.391362) + (xy 155.584781 108.437018) + (xy 155.557136 108.457255) + (xy 155.557814 108.458188) + (xy 155.394129 108.57711) + (xy 155.267466 108.717783) + (xy 155.17282 108.881715) + (xy 155.114326 109.061742) + (xy 155.09454 109.25) + (xy 155.114326 109.438257) + (xy 155.17282 109.618284) + (xy 155.267466 109.782216) + (xy 155.394129 109.922889) + (xy 155.547269 110.034151) + (xy 155.720193 110.111143) + (xy 155.720196 110.111143) + (xy 155.720197 110.111144) + (xy 155.765779 110.120832) + (xy 155.822968 110.149971) + (xy 155.857928 110.203803) + (xy 155.897321 110.32504) + (xy 155.991966 110.488971) + (xy 156.118629 110.629644) + (xy 156.271769 110.740906) + (xy 156.444697 110.817899) + (xy 156.629852 110.857255) + (xy 156.629854 110.857255) + (xy 156.819146 110.857255) + (xy 156.819148 110.857255) + (xy 156.942584 110.831017) + (xy 157.004303 110.817899) + (xy 157.17723 110.740906) + (xy 157.24792 110.689547) + (xy 157.33037 110.629644) + (xy 157.40835 110.543039) + (xy 157.457277 110.509788) + (xy 157.516041 110.502989) + (xy 157.571269 110.524189) + (xy 157.610389 110.568562) + (xy 157.6245 110.626011) + (xy 157.6245 110.689547) + (xy 157.615061 110.737) + (xy 157.588181 110.777228) + (xy 157.277228 111.088181) + (xy 157.237 111.115061) + (xy 157.189547 111.1245) + (xy 145.23744 111.1245) + (xy 145.216932 111.122235) + (xy 145.146809 111.124439) + (xy 145.142915 111.1245) + (xy 145.115346 111.1245) + (xy 145.111367 111.125002) + (xy 145.099737 111.125917) + (xy 145.056068 111.127289) + (xy 145.036824 111.13288) + (xy 145.01778 111.136824) + (xy 144.997904 111.139335) + (xy 144.957296 111.155413) + (xy 144.94625 111.159194) + (xy 144.904306 111.171382) + (xy 144.904303 111.171383) + (xy 144.887061 111.181579) + (xy 144.8696 111.190133) + (xy 144.850963 111.197512) + (xy 144.815627 111.223185) + (xy 144.80587 111.229595) + (xy 144.768276 111.251829) + (xy 144.754109 111.265996) + (xy 144.73932 111.278626) + (xy 144.723109 111.290404) + (xy 144.695268 111.324058) + (xy 144.687407 111.332697) + (xy 141.931924 114.088181) + (xy 141.891696 114.115061) + (xy 141.844243 114.1245) + (xy 127.625452 114.1245) + (xy 127.577999 114.115061) + (xy 127.537771 114.088181) + (xy 126.965237 113.515647) + (xy 126.933143 113.460059) + (xy 126.933143 113.395872) + (xy 126.934092 113.392331) + (xy 126.960063 113.295408) + (xy 126.980659 113.06) + (xy 126.960063 112.824592) + (xy 126.898903 112.596337) + (xy 126.799035 112.382171) + (xy 126.663495 112.188599) + (xy 126.496401 112.021505) + (xy 126.310839 111.891573) + (xy 126.271975 111.847257) + (xy 126.257964 111.79) + (xy 126.271975 111.732743) + (xy 126.310839 111.688426) + (xy 126.496401 111.558495) + (xy 126.663495 111.391401) + (xy 126.799035 111.19783) + (xy 126.898903 110.983663) + (xy 126.960063 110.755408) + (xy 126.980659 110.52) + (xy 126.979376 110.505341) + (xy 126.960063 110.284594) + (xy 126.960063 110.284592) + (xy 126.933143 110.184126) + (xy 126.933143 110.119939) + (xy 126.965235 110.064353) + (xy 127.96777 109.061819) + (xy 128.007999 109.034939) + (xy 128.055452 109.0255) + (xy 148.301248 109.0255) + (xy 148.321754 109.027764) + (xy 148.324657 109.027672) + (xy 148.324659 109.027673) + (xy 148.391864 109.025561) + (xy 148.39576 109.0255) + (xy 148.423341 109.0255) + (xy 148.423342 109.0255) + (xy 148.427311 109.024998) + (xy 148.438957 109.02408) + (xy 148.482619 109.022709) + (xy 148.501851 109.01712) + (xy 148.52091 109.013174) + (xy 148.529445 109.012096) + (xy 148.540784 109.010664) + (xy 148.581399 108.994582) + (xy 148.592436 108.990803) + (xy 148.634382 108.978618) + (xy 148.651621 108.968422) + (xy 148.669094 108.959862) + (xy 148.687724 108.952486) + (xy 148.723056 108.926814) + (xy 148.732822 108.9204) + (xy 148.77041 108.898171) + (xy 148.770409 108.898171) + (xy 148.770412 108.89817) + (xy 148.784577 108.884004) + (xy 148.799365 108.871373) + (xy 148.815579 108.859594) + (xy 148.84343 108.825926) + (xy 148.851271 108.817309) + (xy 151.643979 106.024602) + (xy 151.704291 105.991343) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 167.101079 106.764015) + (xy 167.145102 106.801615) + (xy 167.167257 106.855102) + (xy 167.162715 106.912818) + (xy 167.114326 107.061742) + (xy 167.09454 107.249999) + (xy 167.114326 107.438257) + (xy 167.17282 107.618284) + (xy 167.267466 107.782216) + (xy 167.394129 107.922889) + (xy 167.474703 107.981429) + (xy 167.515619 108.0325) + (xy 167.52484 108.097287) + (xy 167.499798 108.157746) + (xy 167.447468 108.197038) + (xy 167.446269 108.197512) + (xy 167.410938 108.223181) + (xy 167.40118 108.229591) + (xy 167.363579 108.251829) + (xy 167.34941 108.265998) + (xy 167.334622 108.278628) + (xy 167.318413 108.290405) + (xy 167.290572 108.324058) + (xy 167.282711 108.332696) + (xy 166.616208 108.999199) + (xy 166.60011 109.012096) + (xy 166.552096 109.063225) + (xy 166.549391 109.066017) + (xy 166.529874 109.085534) + (xy 166.527415 109.088705) + (xy 166.519842 109.097572) + (xy 166.489935 109.12942) + (xy 166.480285 109.146974) + (xy 166.469609 109.163228) + (xy 166.457326 109.179063) + (xy 166.439975 109.219158) + (xy 166.434838 109.229644) + (xy 166.413802 109.267907) + (xy 166.408821 109.287309) + (xy 166.40252 109.305711) + (xy 166.394561 109.324102) + (xy 166.387728 109.367242) + (xy 166.38536 109.378674) + (xy 166.3745 109.420978) + (xy 166.3745 109.441016) + (xy 166.372973 109.460415) + (xy 166.36984 109.480194) + (xy 166.37395 109.523675) + (xy 166.3745 109.535344) + (xy 166.3745 112.189547) + (xy 166.365061 112.237) + (xy 166.338183 112.277225) + (xy 166.269962 112.345446) + (xy 166.22391 112.374567) + (xy 166.169818 112.381135) + (xy 166.118134 112.36388) + (xy 166.064609 112.331523) + (xy 166.055286 112.328618) + (xy 165.902196 112.280914) + (xy 165.902193 112.280913) + (xy 165.831617 112.2745) + (xy 165.831616 112.2745) + (xy 165.318384 112.2745) + (xy 165.318383 112.2745) + (xy 165.247806 112.280913) + (xy 165.166598 112.306218) + (xy 165.085394 112.331522) + (xy 165.085392 112.331522) + (xy 165.085392 112.331523) + (xy 164.939813 112.419528) + (xy 164.837681 112.521661) + (xy 164.782094 112.553755) + (xy 164.717906 112.553755) + (xy 164.662319 112.521661) + (xy 164.560186 112.419528) + (xy 164.468132 112.36388) + (xy 164.414606 112.331522) + (xy 164.252196 112.280914) + (xy 164.252193 112.280913) + (xy 164.181617 112.2745) + (xy 164.181616 112.2745) + (xy 163.668384 112.2745) + (xy 163.668383 112.2745) + (xy 163.597806 112.280913) + (xy 163.516598 112.306218) + (xy 163.435394 112.331522) + (xy 163.435392 112.331522) + (xy 163.435392 112.331523) + (xy 163.289816 112.419527) + (xy 163.289815 112.419527) + (xy 163.289815 112.419528) + (xy 163.241159 112.468183) + (xy 163.200934 112.495061) + (xy 163.153481 112.5045) + (xy 163.0295 112.5045) + (xy 162.9675 112.487887) + (xy 162.922113 112.4425) + (xy 162.9055 112.3805) + (xy 162.9055 112.32471) + (xy 162.895445 112.240985) + (xy 162.842904 112.107753) + (xy 162.842904 112.107752) + (xy 162.799634 112.050692) + (xy 162.756366 111.993633) + (xy 162.68112 111.936574) + (xy 162.642248 111.907096) + (xy 162.642246 111.907095) + (xy 162.509014 111.854554) + (xy 162.42529 111.8445) + (xy 162.425286 111.8445) + (xy 161.988063 111.8445) + (xy 161.94061 111.835061) + (xy 161.900382 111.808181) + (xy 161.632848 111.540647) + (xy 161.601332 111.487144) + (xy 161.599707 111.425071) + (xy 161.628376 111.369999) + (xy 161.710888 111.278361) + (xy 161.805534 111.114429) + (xy 161.864029 110.934401) + (xy 161.883815 110.746145) + (xy 161.872924 110.642527) + (xy 161.87929 110.588369) + (xy 161.908279 110.542175) + (xy 161.954283 110.512887) + (xy 161.988058 110.508694) + (xy 161.987846 110.506538) + (xy 161.999999 110.50534) + (xy 162 110.505341) + (xy 162.196132 110.486024) + (xy 162.384727 110.428814) + (xy 162.558538 110.33591) + (xy 162.710883 110.210883) + (xy 162.83591 110.058538) + (xy 162.928814 109.884727) + (xy 162.986024 109.696132) + (xy 163.005341 109.5) + (xy 162.986024 109.303868) + (xy 162.928814 109.115273) + (xy 162.83591 108.941462) + (xy 162.798499 108.895877) + (xy 162.772285 108.839014) + (xy 162.777198 108.776589) + (xy 162.811987 108.724524) + (xy 162.86778 108.696096) + (xy 162.930349 108.698554) + (xy 163.053868 108.736024) + (xy 163.25 108.755341) + (xy 163.446132 108.736024) + (xy 163.634727 108.678814) + (xy 163.808538 108.58591) + (xy 163.960883 108.460883) + (xy 164.08591 108.308538) + (xy 164.178814 108.134727) + (xy 164.236024 107.946132) + (xy 164.255341 107.75) + (xy 164.236024 107.553868) + (xy 164.178814 107.365273) + (xy 164.08591 107.191462) + (xy 163.960883 107.039117) + (xy 163.889212 106.980298) + (xy 163.854545 106.934761) + (xy 163.84402 106.878505) + (xy 163.859881 106.823515) + (xy 163.898747 106.781504) + (xy 163.952336 106.761424) + (xy 163.963059 106.76007) + (xy 163.963123 106.760044) + (xy 163.965238 106.759208) + (xy 164.010885 106.7505) + (xy 167.044784 106.7505) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 161.546263 100.34805) + (xy 161.547387 100.348579) + (xy 161.70883 100.379376) + (xy 161.708831 100.379375) + (xy 161.708832 100.379376) + (xy 161.758068 100.376278) + (xy 161.87286 100.369056) + (xy 161.872861 100.369055) + (xy 161.879038 100.368667) + (xy 161.934277 100.377861) + (xy 161.979839 100.410419) + (xy 162.00643 100.459702) + (xy 162.008629 100.515657) + (xy 161.995623 100.583832) + (xy 162.005943 100.747859) + (xy 162.032681 100.83015) + (xy 162.038505 100.876252) + (xy 162.026951 100.92126) + (xy 162.026422 100.922383) + (xy 161.995623 101.083832) + (xy 162.005943 101.247858) + (xy 162.032681 101.330149) + (xy 162.038505 101.376251) + (xy 162.026951 101.421258) + (xy 162.026421 101.422385) + (xy 162.018826 101.462201) + (xy 161.995623 101.583831) + (xy 162.005943 101.747855) + (xy 162.005944 101.747858) + (xy 162.032184 101.828618) + (xy 162.032682 101.830148) + (xy 162.038506 101.876251) + (xy 162.026952 101.921258) + (xy 162.026421 101.922387) + (xy 162.011711 101.999499) + (xy 161.995623 102.083833) + (xy 162.005943 102.247859) + (xy 162.032681 102.33015) + (xy 162.038505 102.376252) + (xy 162.026951 102.42126) + (xy 162.026422 102.422383) + (xy 161.995623 102.583832) + (xy 162.005943 102.747858) + (xy 162.032681 102.830149) + (xy 162.038506 102.876249) + (xy 162.026952 102.921257) + (xy 162.026421 102.922384) + (xy 161.995623 103.083832) + (xy 162.005943 103.247859) + (xy 162.032681 103.33015) + (xy 162.038506 103.37625) + (xy 162.026952 103.421257) + (xy 162.026422 103.422381) + (xy 161.995623 103.583833) + (xy 162.005943 103.747859) + (xy 162.032681 103.830149) + (xy 162.038505 103.876251) + (xy 162.026951 103.921259) + (xy 162.026422 103.922382) + (xy 161.995623 104.083831) + (xy 162.005943 104.247855) + (xy 162.005944 104.247858) + (xy 162.031857 104.327611) + (xy 162.032682 104.330148) + (xy 162.038506 104.376251) + (xy 162.026952 104.421258) + (xy 162.026421 104.422387) + (xy 162.011207 104.50214) + (xy 161.995623 104.583833) + (xy 162.005943 104.747859) + (xy 162.032681 104.83015) + (xy 162.038505 104.876252) + (xy 162.026951 104.921259) + (xy 162.026421 104.922386) + (xy 162.016129 104.976337) + (xy 161.995623 105.083832) + (xy 162.005943 105.247856) + (xy 162.005944 105.247859) + (xy 162.031857 105.327612) + (xy 162.032682 105.330149) + (xy 162.038506 105.376252) + (xy 162.026952 105.421259) + (xy 162.026421 105.422388) + (xy 162.018865 105.461999) + (xy 161.995623 105.583834) + (xy 162.005943 105.74786) + (xy 162.032681 105.830151) + (xy 162.038505 105.876253) + (xy 162.026951 105.92126) + (xy 162.026421 105.922387) + (xy 162.019961 105.956251) + (xy 161.995623 106.083833) + (xy 162.006332 106.254038) + (xy 161.997138 106.309277) + (xy 161.96458 106.354837) + (xy 161.915298 106.381429) + (xy 161.859343 106.383628) + (xy 161.791168 106.370623) + (xy 161.627139 106.380943) + (xy 161.544848 106.407681) + (xy 161.498746 106.413505) + (xy 161.453736 106.401949) + (xy 161.452617 106.401422) + (xy 161.452615 106.401421) + (xy 161.452614 106.401421) + (xy 161.31149 106.3745) + (xy 161.291167 106.370623) + (xy 161.12714 106.380943) + (xy 161.044848 106.407681) + (xy 160.998747 106.413505) + (xy 160.953739 106.401951) + (xy 160.952615 106.401422) + (xy 160.952613 106.401421) + (xy 160.952612 106.401421) + (xy 160.811488 106.3745) + (xy 160.791165 106.370623) + (xy 160.627138 106.380943) + (xy 160.544847 106.407681) + (xy 160.498745 106.413505) + (xy 160.453735 106.401949) + (xy 160.452616 106.401422) + (xy 160.452614 106.401421) + (xy 160.452613 106.401421) + (xy 160.311489 106.3745) + (xy 160.291166 106.370623) + (xy 160.127139 106.380943) + (xy 160.044847 106.407681) + (xy 159.998746 106.413505) + (xy 159.953738 106.401951) + (xy 159.952614 106.401422) + (xy 159.952612 106.401421) + (xy 159.952611 106.401421) + (xy 159.811487 106.3745) + (xy 159.791164 106.370623) + (xy 159.648736 106.379584) + (xy 159.584077 106.366017) + (xy 159.535563 106.321171) + (xy 159.516965 106.257776) + (xy 159.533563 106.193829) + (xy 159.540337 106.182097) + (xy 159.577179 106.118284) + (xy 159.635674 105.938256) + (xy 159.65546 105.75) + (xy 159.635674 105.561744) + (xy 159.577179 105.381716) + (xy 159.577179 105.381715) + (xy 159.482533 105.217783) + (xy 159.35587 105.07711) + (xy 159.202732 104.965849) + (xy 159.087445 104.91452) + (xy 159.029803 104.888856) + (xy 158.971921 104.876552) + (xy 158.914732 104.847413) + (xy 158.879773 104.793581) + (xy 158.827179 104.631715) + (xy 158.732533 104.467783) + (xy 158.60587 104.32711) + (xy 158.45273 104.215848) + (xy 158.279802 104.138855) + (xy 158.094648 104.0995) + (xy 158.094646 104.0995) + (xy 157.905354 104.0995) + (xy 157.905352 104.0995) + (xy 157.720197 104.138855) + (xy 157.547269 104.215848) + (xy 157.394129 104.32711) + (xy 157.267466 104.467783) + (xy 157.17282 104.631715) + (xy 157.114326 104.811742) + (xy 157.09454 105) + (xy 157.114326 105.188257) + (xy 157.17282 105.368284) + (xy 157.267464 105.532213) + (xy 157.267467 105.532216) + (xy 157.342652 105.615717) + (xy 157.366264 105.654249) + (xy 157.3745 105.698687) + (xy 157.3745 105.942561) + (xy 157.372235 105.963067) + (xy 157.374439 106.033178) + (xy 157.3745 106.037073) + (xy 157.3745 106.064654) + (xy 157.375003 106.068639) + (xy 157.375918 106.080272) + (xy 157.37729 106.123931) + (xy 157.382879 106.143165) + (xy 157.386825 106.162221) + (xy 157.389335 106.182096) + (xy 157.396951 106.201331) + (xy 157.405108 106.258648) + (xy 157.386354 106.31342) + (xy 157.344779 106.353709) + (xy 157.289444 106.370732) + (xy 157.127137 106.380943) + (xy 157.044846 106.407681) + (xy 156.998744 106.413505) + (xy 156.953734 106.401949) + (xy 156.952615 106.401422) + (xy 156.952613 106.401421) + (xy 156.952612 106.401421) + (xy 156.811488 106.3745) + (xy 156.791165 106.370623) + (xy 156.627138 106.380943) + (xy 156.544847 106.407681) + (xy 156.498745 106.413505) + (xy 156.453735 106.401949) + (xy 156.452616 106.401422) + (xy 156.452614 106.401421) + (xy 156.452613 106.401421) + (xy 156.311489 106.3745) + (xy 156.291166 106.370623) + (xy 156.120961 106.381332) + (xy 156.065722 106.372138) + (xy 156.020161 106.339579) + (xy 155.99357 106.290297) + (xy 155.991371 106.234343) + (xy 156.004376 106.16617) + (xy 156.003518 106.152538) + (xy 155.994056 106.002142) + (xy 155.994056 106.00214) + (xy 155.967317 105.919848) + (xy 155.961494 105.873744) + (xy 155.97305 105.828737) + (xy 155.973579 105.827614) + (xy 156.004376 105.666171) + (xy 156.001201 105.615714) + (xy 155.994056 105.502143) + (xy 155.994056 105.502141) + (xy 155.967316 105.419846) + (xy 155.961493 105.37374) + (xy 155.973051 105.328731) + (xy 155.973579 105.327612) + (xy 156.004376 105.166169) + (xy 155.994056 105.002139) + (xy 155.967317 104.919847) + (xy 155.961494 104.873743) + (xy 155.97305 104.828736) + (xy 155.973579 104.827613) + (xy 156.004376 104.66617) + (xy 156.000219 104.600104) + (xy 155.994056 104.502142) + (xy 155.994056 104.50214) + (xy 155.967316 104.419845) + (xy 155.961493 104.373739) + (xy 155.973051 104.32873) + (xy 155.973579 104.327611) + (xy 156.004376 104.166168) + (xy 156.000695 104.107668) + (xy 155.994056 104.00214) + (xy 155.994056 104.002138) + (xy 155.967317 103.919847) + (xy 155.961494 103.87374) + (xy 155.973052 103.828731) + (xy 155.973579 103.827613) + (xy 156.004376 103.66617) + (xy 155.994056 103.50214) + (xy 155.967317 103.419847) + (xy 155.961494 103.373743) + (xy 155.97305 103.328736) + (xy 155.973579 103.327613) + (xy 156.004376 103.16617) + (xy 156.002208 103.131716) + (xy 155.994056 103.00214) + (xy 155.967318 102.919848) + (xy 155.961494 102.873742) + (xy 155.97305 102.828735) + (xy 155.973579 102.827612) + (xy 156.004376 102.666169) + (xy 155.994056 102.502139) + (xy 155.967317 102.419847) + (xy 155.961494 102.373743) + (xy 155.97305 102.328736) + (xy 155.973579 102.327613) + (xy 156.004376 102.16617) + (xy 156.001754 102.1245) + (xy 155.998189 102.067828) + (xy 156.013282 102.000305) + (xy 156.062207 101.95138) + (xy 156.12973 101.936287) + (xy 156.194829 101.959723) + (xy 156.29727 102.034151) + (xy 156.297271 102.034151) + (xy 156.297272 102.034152) + (xy 156.470197 102.111144) + (xy 156.655352 102.1505) + (xy 156.655354 102.1505) + (xy 156.844646 102.1505) + (xy 156.844648 102.1505) + (xy 157.017401 102.11378) + (xy 157.029803 102.111144) + (xy 157.20273 102.034151) + (xy 157.355871 101.922888) + (xy 157.361598 101.916526) + (xy 157.403312 101.88622) + (xy 157.453747 101.8755) + (xy 157.542256 101.8755) + (xy 157.562762 101.877764) + (xy 157.565665 101.877672) + (xy 157.565667 101.877673) + (xy 157.632872 101.875561) + (xy 157.636768 101.8755) + (xy 157.664349 101.8755) + (xy 157.66435 101.8755) + (xy 157.668319 101.874998) + (xy 157.679965 101.87408) + (xy 157.723627 101.872709) + (xy 157.742859 101.86712) + (xy 157.761918 101.863174) + (xy 157.768196 101.862381) + (xy 157.781792 101.860664) + (xy 157.822407 101.844582) + (xy 157.833444 101.840803) + (xy 157.87539 101.828618) + (xy 157.892629 101.818422) + (xy 157.910102 101.809862) + (xy 157.928732 101.802486) + (xy 157.964064 101.776814) + (xy 157.97383 101.7704) + (xy 158.011418 101.748171) + (xy 158.011417 101.748171) + (xy 158.01142 101.74817) + (xy 158.025585 101.734004) + (xy 158.040373 101.721373) + (xy 158.056587 101.709594) + (xy 158.084438 101.675926) + (xy 158.092279 101.667309) + (xy 158.393112 101.366476) + (xy 158.447132 101.334815) + (xy 158.509738 101.333585) + (xy 158.564962 101.363103) + (xy 158.598722 101.415841) + (xy 158.660507 101.605999) + (xy 158.755153 101.769931) + (xy 158.881816 101.910604) + (xy 159.034956 102.021866) + (xy 159.207884 102.098859) + (xy 159.393039 102.138215) + (xy 159.393041 102.138215) + (xy 159.582333 102.138215) + (xy 159.582335 102.138215) + (xy 159.709693 102.111144) + (xy 159.76749 102.098859) + (xy 159.837186 102.067828) + (xy 159.930783 102.026156) + (xy 159.994183 102.016115) + (xy 160.034195 102.031475) + (xy 160.035364 102.028851) + (xy 160.220197 102.111144) + (xy 160.405352 102.1505) + (xy 160.405354 102.1505) + (xy 160.594646 102.1505) + (xy 160.594648 102.1505) + (xy 160.767401 102.11378) + (xy 160.779803 102.111144) + (xy 160.95273 102.034151) + (xy 161.000425 101.999499) + (xy 161.10587 101.922889) + (xy 161.111598 101.916528) + (xy 161.232533 101.782216) + (xy 161.327179 101.618284) + (xy 161.385674 101.438256) + (xy 161.40546 101.25) + (xy 161.385674 101.061744) + (xy 161.327179 100.881716) + (xy 161.327179 100.881715) + (xy 161.232533 100.717783) + (xy 161.11453 100.586728) + (xy 161.084526 100.525075) + (xy 161.091872 100.456904) + (xy 161.134321 100.403057) + (xy 161.198894 100.380001) + (xy 161.20883 100.379375) + (xy 161.208831 100.379376) + (xy 161.372861 100.369056) + (xy 161.455152 100.342317) + (xy 161.501254 100.336494) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 148.893881 97.893382) + (xy 148.935394 97.918478) + (xy 149.097804 97.969086) + (xy 149.144857 97.973361) + (xy 149.168383 97.9755) + (xy 149.168384 97.9755) + (xy 149.464548 97.9755) + (xy 149.512001 97.984939) + (xy 149.552228 98.011818) + (xy 149.876319 98.33591) + (xy 150.174196 98.633787) + (xy 150.187096 98.649888) + (xy 150.238223 98.6979) + (xy 150.241019 98.70061) + (xy 150.260529 98.72012) + (xy 150.263711 98.722588) + (xy 150.272571 98.730155) + (xy 150.304418 98.760062) + (xy 150.321972 98.769712) + (xy 150.338236 98.780396) + (xy 150.342834 98.783962) + (xy 150.354064 98.792673) + (xy 150.375199 98.801819) + (xy 150.394152 98.810021) + (xy 150.404631 98.815154) + (xy 150.442908 98.836197) + (xy 150.462306 98.841177) + (xy 150.480708 98.847477) + (xy 150.499104 98.855438) + (xy 150.542261 98.862273) + (xy 150.553664 98.864634) + (xy 150.595981 98.8755) + (xy 150.616016 98.8755) + (xy 150.635413 98.877026) + (xy 150.655196 98.88016) + (xy 150.698674 98.87605) + (xy 150.710344 98.8755) + (xy 151.6505 98.8755) + (xy 151.7125 98.892113) + (xy 151.757887 98.9375) + (xy 151.7745 98.9995) + (xy 151.7745 99.431617) + (xy 151.780913 99.502193) + (xy 151.780914 99.502196) + (xy 151.830308 99.660711) + (xy 151.831523 99.664608) + (xy 151.832285 99.665868) + (xy 151.849969 99.722974) + (xy 151.838878 99.781717) + (xy 151.80159 99.828445) + (xy 151.746773 99.852295) + (xy 151.687167 99.847725) + (xy 151.647711 99.834651) + (xy 151.573185 99.827037) + (xy 151.548344 99.8245) + (xy 150.951655 99.8245) + (xy 150.852292 99.83465) + (xy 150.6913 99.887997) + (xy 150.546958 99.977029) + (xy 150.508616 100.015372) + (xy 150.427032 100.096956) + (xy 150.42703 100.096958) + (xy 150.416785 100.107204) + (xy 150.41523 100.105649) + (xy 150.385845 100.133779) + (xy 150.325416 100.1495) + (xy 148.845453 100.1495) + (xy 148.798 100.140061) + (xy 148.757772 100.113181) + (xy 148.090237 99.445646) + (xy 148.058143 99.390058) + (xy 148.058143 99.325872) + (xy 148.085063 99.225408) + (xy 148.086931 99.204063) + (xy 148.105659 98.99) + (xy 148.085063 98.754592) + (xy 148.078517 98.730161) + (xy 148.023903 98.526337) + (xy 147.924035 98.312171) + (xy 147.788495 98.118599) + (xy 147.757077 98.087181) + (xy 147.726827 98.037818) + (xy 147.722285 97.980102) + (xy 147.74444 97.926615) + (xy 147.788463 97.889015) + (xy 147.844758 97.8755) + (xy 148.829732 97.8755) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 129.960916 89.088934) + (xy 130.006115 89.132788) + (xy 130.036848 89.183626) + (xy 130.069529 89.237687) + (xy 130.189813 89.357971) + (xy 130.220245 89.376368) + (xy 130.335394 89.445978) + (xy 130.497804 89.496586) + (xy 130.544857 89.500862) + (xy 130.568383 89.503) + (xy 130.568384 89.503) + (xy 131.081616 89.503) + (xy 131.081617 89.503) + (xy 131.088031 89.502417) + (xy 131.152196 89.496586) + (xy 131.303723 89.449368) + (xy 131.371937 89.447776) + (xy 131.430668 89.482513) + (xy 131.462129 89.543062) + (xy 131.456794 89.611087) + (xy 131.405909 89.747514) + (xy 131.404114 89.764214) + (xy 131.399727 89.805021) + (xy 131.3995 89.80713) + (xy 131.3995 90.302869) + (xy 131.405561 90.359245) + (xy 131.405561 90.385752) + (xy 131.3995 90.442129) + (xy 131.3995 90.937869) + (xy 131.405561 90.994245) + (xy 131.405561 91.020752) + (xy 131.3995 91.077129) + (xy 131.3995 91.572869) + (xy 131.405909 91.632484) + (xy 131.456204 91.767332) + (xy 131.544806 91.88569) + (xy 131.566705 91.933642) + (xy 131.566705 91.986358) + (xy 131.544806 92.03431) + (xy 131.456205 92.152667) + (xy 131.456204 92.152669) + (xy 131.420335 92.248836) + (xy 131.393899 92.291069) + (xy 131.352969 92.319488) + (xy 131.304156 92.3295) + (xy 131.265453 92.3295) + (xy 131.218 92.320061) + (xy 131.177772 92.293181) + (xy 129.190802 90.306211) + (xy 129.177906 90.290113) + (xy 129.126775 90.242098) + (xy 129.123978 90.239387) + (xy 129.10447 90.219879) + (xy 129.10129 90.217412) + (xy 129.092424 90.209839) + (xy 129.060582 90.179938) + (xy 129.043024 90.170285) + (xy 129.026764 90.159604) + (xy 129.010936 90.147327) + (xy 128.970851 90.12998) + (xy 128.960361 90.124841) + (xy 128.922091 90.103802) + (xy 128.902691 90.098821) + (xy 128.884284 90.092519) + (xy 128.865897 90.084562) + (xy 128.822758 90.077729) + (xy 128.811324 90.075361) + (xy 128.769019 90.0645) + (xy 128.748984 90.0645) + (xy 128.729586 90.062973) + (xy 128.722162 90.061797) + (xy 128.709805 90.05984) + (xy 128.709804 90.05984) + (xy 128.676751 90.062964) + (xy 128.666325 90.06395) + (xy 128.654656 90.0645) + (xy 128.5245 90.0645) + (xy 128.4625 90.047887) + (xy 128.417113 90.002501) + (xy 128.4005 89.940501) + (xy 128.400499 89.80713) + (xy 128.400499 89.807127) + (xy 128.394091 89.747517) + (xy 128.343796 89.612669) + (xy 128.343793 89.612665) + (xy 128.343205 89.611088) + (xy 128.33787 89.543062) + (xy 128.369331 89.482514) + (xy 128.428061 89.447776) + (xy 128.496276 89.449368) + (xy 128.647804 89.496586) + (xy 128.711327 89.502358) + (xy 128.718383 89.503) + (xy 128.718384 89.503) + (xy 129.231616 89.503) + (xy 129.231617 89.503) + (xy 129.249261 89.501396) + (xy 129.302196 89.496586) + (xy 129.464606 89.445978) + (xy 129.610185 89.357972) + (xy 129.730472 89.237685) + (xy 129.793884 89.132788) + (xy 129.839084 89.088934) + (xy 129.9 89.07294) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 136.405933 86.559939) + (xy 136.446161 86.586819) + (xy 136.521661 86.662319) + (xy 136.553755 86.717906) + (xy 136.553755 86.782094) + (xy 136.521661 86.837681) + (xy 136.419528 86.939813) + (xy 136.365578 87.029058) + (xy 136.331522 87.085394) + (xy 136.326157 87.102612) + (xy 136.280913 87.247806) + (xy 136.2745 87.318383) + (xy 136.2745 87.831617) + (xy 136.280913 87.902193) + (xy 136.280914 87.902196) + (xy 136.331522 88.064606) + (xy 136.331523 88.064607) + (xy 136.419528 88.210186) + (xy 136.539813 88.330471) + (xy 136.539815 88.330472) + (xy 136.685394 88.418478) + (xy 136.688959 88.419588) + (xy 136.742357 88.452978) + (xy 136.772467 88.508296) + (xy 136.771517 88.571271) + (xy 136.739752 88.625655) + (xy 135.616208 89.749199) + (xy 135.60011 89.762096) + (xy 135.552096 89.813225) + (xy 135.549391 89.816017) + (xy 135.529874 89.835534) + (xy 135.527415 89.838705) + (xy 135.519842 89.847572) + (xy 135.489938 89.879418) + (xy 135.489937 89.879419) + (xy 135.479218 89.890835) + (xy 135.478794 89.890437) + (xy 135.456639 89.915587) + (xy 135.40713 89.937094) + (xy 135.353173 89.935527) + (xy 135.31991 89.918719) + (xy 135.319308 89.919847) + (xy 135.260672 89.888505) + (xy 135.220042 89.853702) + (xy 135.197856 89.805021) + (xy 135.198242 89.751524) + (xy 135.221127 89.703171) + (xy 135.222577 89.701303) + (xy 135.230156 89.692427) + (xy 135.260062 89.660582) + (xy 135.269712 89.643027) + (xy 135.280394 89.626764) + (xy 135.292673 89.610936) + (xy 135.292673 89.610935) + (xy 135.302279 89.598553) + (xy 135.303921 89.599827) + (xy 135.323938 89.57327) + (xy 135.460883 89.460883) + (xy 135.58591 89.308538) + (xy 135.678814 89.134727) + (xy 135.736024 88.946132) + (xy 135.755341 88.75) + (xy 135.736024 88.553868) + (xy 135.678814 88.365273) + (xy 135.58591 88.191462) + (xy 135.460883 88.039117) + (xy 135.308538 87.91409) + (xy 135.286091 87.902091) + (xy 135.134726 87.821185) + (xy 134.946133 87.763976) + (xy 134.840314 87.753554) + (xy 134.75 87.744659) + (xy 134.749999 87.744659) + (xy 134.553866 87.763976) + (xy 134.365273 87.821185) + (xy 134.191463 87.914089) + (xy 134.039117 88.039117) + (xy 133.914089 88.191463) + (xy 133.821185 88.365273) + (xy 133.763976 88.553866) + (xy 133.744659 88.75) + (xy 133.763975 88.946129) + (xy 133.763976 88.946132) + (xy 133.821186 89.134727) + (xy 133.847324 89.183629) + (xy 133.8617 89.233969) + (xy 133.854018 89.285759) + (xy 133.825647 89.329761) + (xy 133.644532 89.510876) + (xy 133.600184 89.539377) + (xy 133.548003 89.546879) + (xy 133.497421 89.532026) + (xy 133.457583 89.497504) + (xy 133.457546 89.497454) + (xy 133.342331 89.411204) + (xy 133.305642 89.39752) + (xy 133.260339 89.368051) + (xy 133.231872 89.322109) + (xy 133.225653 89.268422) + (xy 133.242862 89.217189) + (xy 133.318478 89.092106) + (xy 133.369086 88.929696) + (xy 133.3755 88.859116) + (xy 133.3755 88.195884) + (xy 133.369086 88.125304) + (xy 133.318478 87.962894) + (xy 133.230472 87.817315) + (xy 133.230471 87.817313) + (xy 133.110186 87.697028) + (xy 133.006515 87.634357) + (xy 132.964606 87.609022) + (xy 132.802196 87.558414) + (xy 132.802193 87.558413) + (xy 132.731617 87.552) + (xy 132.731616 87.552) + (xy 132.218384 87.552) + (xy 132.218383 87.552) + (xy 132.147806 87.558413) + (xy 131.985391 87.609023) + (xy 131.972732 87.616676) + (xy 131.915626 87.634357) + (xy 131.856884 87.623264) + (xy 131.810158 87.585976) + (xy 131.786308 87.531159) + (xy 131.790879 87.471553) + (xy 131.801342 87.43998) + (xy 131.815349 87.397708) + (xy 131.8255 87.298345) + (xy 131.825499 86.701656) + (xy 131.824012 86.687101) + (xy 131.836025 86.619926) + (xy 131.881762 86.569278) + (xy 131.94737 86.5505) + (xy 136.35848 86.5505) + ) + ) + ) + (zone (net 73) (net_name "/VUSB") (layer "F.Cu") (tstamp 8284e3e4-83fa-41c8-bbd4-fc798a3d586c) (hatch edge 0.5) + (connect_pads yes (clearance 0.5)) + (min_thickness 0.25) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (smoothing chamfer) (radius 0.25)) + (polygon + (pts + (xy 118.5525 82.6) + (xy 119.4025 82.6) + (xy 120.25 81.75) + (xy 120.75 81.75) + (xy 123.65 81.75) + (xy 123.750564 81.75) + (xy 123.750564 83) + (xy 123.65 83) + (xy 118.5525 83) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 122.944429 81.7505) + (xy 123.532025 81.7505) + (xy 123.591009 81.765427) + (xy 123.635792 81.806614) + (xy 123.65559 81.864141) + (xy 123.659076 81.905723) + (xy 123.682969 82.02251) + (xy 123.702875 82.082582) + (xy 123.713706 82.115267) + (xy 123.72 82.154271) + (xy 123.72 82.620727) + (xy 123.713706 82.65973) + (xy 123.7091 82.673632) + (xy 123.705849 82.683442) + (xy 123.693681 82.709535) + (xy 123.682664 82.727396) + (xy 123.664807 82.749979) + (xy 123.649979 82.764807) + (xy 123.627396 82.782664) + (xy 123.609535 82.793681) + (xy 123.583441 82.805849) + (xy 123.55973 82.813706) + (xy 123.520727 82.82) + (xy 122.979268 82.82) + (xy 122.940264 82.813706) + (xy 122.916556 82.80585) + (xy 122.890463 82.793682) + (xy 122.890461 82.793681) + (xy 122.872601 82.782664) + (xy 122.828234 82.755297) + (xy 122.700785 82.699218) + (xy 122.635686 82.680756) + (xy 122.497764 82.661575) + (xy 122.359847 82.680752) + (xy 122.294749 82.699214) + (xy 122.167285 82.7553) + (xy 122.105946 82.793135) + (xy 122.079854 82.805302) + (xy 122.055662 82.813318) + (xy 121.939961 82.868814) + (xy 121.887545 82.902745) + (xy 121.807158 82.970699) + (xy 121.76973 82.992444) + (xy 121.727107 83) + (xy 121.634231 83) + (xy 121.586779 82.990561) + (xy 121.524962 82.964956) + (xy 121.448115 82.954839) + (xy 121.3682 82.944317) + (xy 121.340363 82.947982) + (xy 121.336897 82.948439) + (xy 121.320713 82.9495) + (xy 120.616259 82.9495) + (xy 120.576401 82.942919) + (xy 120.546849 82.927123) + (xy 120.425108 82.876697) + (xy 120.376523 82.840575) + (xy 120.350831 82.785754) + (xy 120.354158 82.725303) + (xy 120.38571 82.673632) + (xy 120.437961 82.643061) + (xy 120.465533 82.63505) + (xy 120.512213 82.633588) + (xy 120.512413 82.631071) + (xy 120.521129 82.631762) + (xy 120.521132 82.631763) + (xy 120.662514 82.64298) + (xy 120.731273 82.638741) + (xy 120.870201 82.610244) + (xy 120.995746 82.544277) + (xy 120.995745 82.544277) + (xy 120.995748 82.544276) + (xy 121.014374 82.53085) + (xy 121.051631 82.503996) + (xy 121.153907 82.405755) + (xy 121.22487 82.282971) + (xy 121.250634 82.219081) + (xy 121.265074 82.160713) + (xy 121.267737 82.151498) + (xy 121.269366 82.146584) + (xy 121.294694 82.070146) + (xy 121.306859 82.044057) + (xy 121.318649 82.024942) + (xy 121.336499 82.002369) + (xy 121.352369 81.986499) + (xy 121.374944 81.968649) + (xy 121.394057 81.956859) + (xy 121.420148 81.944693) + (xy 121.440651 81.9379) + (xy 121.445501 81.936293) + (xy 121.484501 81.93) + (xy 122.016278 81.93) + (xy 122.028877 81.930641) + (xy 122.041253 81.931906) + (xy 122.104139 81.93833) + (xy 122.113958 81.939732) + (xy 122.140687 81.944646) + (xy 122.143513 81.944769) + (xy 122.208288 81.947596) + (xy 122.346914 81.934449) + (xy 122.476693 81.883977) + (xy 122.512225 81.864145) + (xy 122.535773 81.851002) + (xy 122.544726 81.844235) + (xy 122.63622 81.775077) + (xy 122.671556 81.756437) + (xy 122.710989 81.75) + (xy 122.934614 81.75) + ) + ) + ) + (zone (net 5) (net_name "GND") (layer "B.Cu") (tstamp 6b39eabf-827a-4180-8a50-5255f00f4750) (hatch edge 0.5) + (priority 1) + (connect_pads yes (clearance 0.5)) + (min_thickness 0.25) (filled_areas_thickness no) + (fill yes (thermal_gap 0.5) (thermal_bridge_width 0.5) (smoothing chamfer) (radius 0.25)) + (polygon + (pts + (xy 115 78.25) + (xy 116.5 76.75) + (xy 179.5 76.75) + (xy 181 78.25) + (xy 181 128.5) + (xy 179.5 130) + (xy 116.5 130) + (xy 115 128.5) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 157.318035 102.044623) + (xy 157.359473 102.08945) + (xy 157.3745 102.148618) + (xy 157.3745 104.301313) + (xy 157.366264 104.345751) + (xy 157.342652 104.384282) + (xy 157.309545 104.421051) + (xy 157.267464 104.467786) + (xy 157.17282 104.631715) + (xy 157.114326 104.811742) + (xy 157.09454 105) + (xy 157.114326 105.188257) + (xy 157.17282 105.368284) + (xy 157.267466 105.532216) + (xy 157.394129 105.672889) + (xy 157.547269 105.784151) + (xy 157.720197 105.861144) + (xy 157.778076 105.873447) + (xy 157.835268 105.902587) + (xy 157.870227 105.956419) + (xy 157.92282 106.118284) + (xy 158.017466 106.282216) + (xy 158.144129 106.422889) + (xy 158.297269 106.534151) + (xy 158.470197 106.611144) + (xy 158.655352 106.6505) + (xy 158.738187 106.6505) + (xy 158.800187 106.667113) + (xy 158.845574 106.7125) + (xy 158.862187 106.7745) + (xy 158.862187 115.654943) + (xy 158.859922 115.675449) + (xy 158.862126 115.74556) + (xy 158.862187 115.749455) + (xy 158.862187 115.777036) + (xy 158.86269 115.781021) + (xy 158.863605 115.792654) + (xy 158.864977 115.836314) + (xy 158.869576 115.852141) + (xy 158.8745 115.886737) + (xy 158.8745 116.189546) + (xy 158.865061 116.237) + (xy 158.83818 116.277228) + (xy 158.763318 116.352088) + (xy 158.734824 116.37337) + (xy 158.723503 116.377549) + (xy 158.723782 116.378174) + (xy 158.711878 116.383473) + (xy 158.711877 116.383474) + (xy 158.669324 116.402419) + (xy 158.538945 116.460468) + (xy 158.385809 116.571728) + (xy 158.34165 116.620773) + (xy 158.292723 116.654024) + (xy 158.233959 116.660823) + (xy 158.178731 116.639623) + (xy 158.139611 116.59525) + (xy 158.1255 116.537801) + (xy 158.1255 109.948687) + (xy 158.133736 109.904249) + (xy 158.157347 109.865717) + (xy 158.232533 109.782216) + (xy 158.327179 109.618284) + (xy 158.385674 109.438256) + (xy 158.40546 109.25) + (xy 158.385674 109.061744) + (xy 158.327179 108.881716) + (xy 158.327179 108.881715) + (xy 158.232533 108.717783) + (xy 158.10587 108.57711) + (xy 157.95273 108.465848) + (xy 157.779802 108.388855) + (xy 157.594648 108.3495) + (xy 157.594646 108.3495) + (xy 157.405354 108.3495) + (xy 157.405352 108.3495) + (xy 157.220197 108.388855) + (xy 157.047269 108.465848) + (xy 156.894129 108.57711) + (xy 156.84215 108.63484) + (xy 156.784179 108.671064) + (xy 156.715821 108.671064) + (xy 156.65785 108.63484) + (xy 156.60587 108.57711) + (xy 156.45273 108.465848) + (xy 156.279802 108.388855) + (xy 156.094648 108.3495) + (xy 156.094646 108.3495) + (xy 155.905354 108.3495) + (xy 155.905352 108.3495) + (xy 155.720197 108.388855) + (xy 155.547269 108.465848) + (xy 155.394129 108.57711) + (xy 155.267466 108.717783) + (xy 155.17282 108.881715) + (xy 155.114326 109.061742) + (xy 155.09454 109.249999) + (xy 155.114326 109.438257) + (xy 155.17282 109.618284) + (xy 155.267464 109.782213) + (xy 155.267467 109.782216) + (xy 155.342652 109.865717) + (xy 155.366264 109.904249) + (xy 155.3745 109.948687) + (xy 155.3745 124.801313) + (xy 155.366264 124.845751) + (xy 155.342652 124.884282) + (xy 155.309545 124.921051) + (xy 155.267464 124.967786) + (xy 155.17282 125.131715) + (xy 155.114326 125.311742) + (xy 155.09454 125.5) + (xy 155.114326 125.688257) + (xy 155.17282 125.868284) + (xy 155.267466 126.032216) + (xy 155.394129 126.172889) + (xy 155.547269 126.284151) + (xy 155.720197 126.361144) + (xy 155.905352 126.4005) + (xy 155.905354 126.4005) + (xy 156.094646 126.4005) + (xy 156.094648 126.4005) + (xy 156.233838 126.370914) + (xy 156.279803 126.361144) + (xy 156.45273 126.284151) + (xy 156.557925 126.207723) + (xy 156.60587 126.172889) + (xy 156.645547 126.128824) + (xy 156.732533 126.032216) + (xy 156.827179 125.868284) + (xy 156.885674 125.688256) + (xy 156.90546 125.5) + (xy 156.885674 125.311744) + (xy 156.827179 125.131716) + (xy 156.827179 125.131715) + (xy 156.732535 124.967786) + (xy 156.691467 124.922176) + (xy 156.657347 124.884282) + (xy 156.633736 124.845751) + (xy 156.6255 124.801313) + (xy 156.6255 124.531179) + (xy 156.637579 124.477796) + (xy 156.671464 124.434813) + (xy 156.720553 124.410605) + (xy 156.775281 124.409889) + (xy 156.851077 124.426) + (xy 156.851079 124.426) + (xy 157.040371 124.426) + (xy 157.040373 124.426) + (xy 157.163808 124.399762) + (xy 157.225528 124.386644) + (xy 157.398455 124.309651) + (xy 157.5249 124.217784) + (xy 157.551595 124.198389) + (xy 157.601659 124.142787) + (xy 157.678258 124.057716) + (xy 157.754155 123.926256) + (xy 157.790062 123.886934) + (xy 157.839156 123.866297) + (xy 157.892379 123.868156) + (xy 157.920981 123.8755) + (xy 157.941016 123.8755) + (xy 157.960413 123.877026) + (xy 157.980196 123.88016) + (xy 158.023674 123.87605) + (xy 158.035344 123.8755) + (xy 164.796253 123.8755) + (xy 164.846688 123.88622) + (xy 164.888401 123.916526) + (xy 164.894129 123.922888) + (xy 165.04727 124.034151) + (xy 165.180277 124.09337) + (xy 165.227221 124.129882) + (xy 165.251766 124.184053) + (xy 165.248264 124.243421) + (xy 165.217523 124.294331) + (xy 164.430815 125.08104) + (xy 164.430814 125.081041) + (xy 162.206723 127.305131) + (xy 162.151135 127.337225) + (xy 162.086948 127.337225) + (xy 162.031361 127.305131) + (xy 161.999267 127.249543) + (xy 161.99 127.214957) + (xy 161.946739 127.053504) + (xy 161.850568 126.847266) + (xy 161.720047 126.660861) + (xy 161.720046 126.660859) + (xy 161.55914 126.499953) + (xy 161.372735 126.369432) + (xy 161.166497 126.273261) + (xy 160.946689 126.214364) + (xy 160.719999 126.194531) + (xy 160.49331 126.214364) + (xy 160.273502 126.273261) + (xy 160.067264 126.369432) + (xy 159.880859 126.499953) + (xy 159.719953 126.660859) + (xy 159.589432 126.847264) + (xy 159.493261 127.053502) + (xy 159.434364 127.27331) + (xy 159.414531 127.499999) + (xy 159.434364 127.726691) + (xy 159.465638 127.843407) + (xy 159.46748 127.899691) + (xy 159.444239 127.950987) + (xy 159.400707 127.986712) + (xy 159.345863 127.9995) + (xy 154.474137 127.9995) + (xy 154.419293 127.986712) + (xy 154.375761 127.950987) + (xy 154.35252 127.899691) + (xy 154.354362 127.843407) + (xy 154.375112 127.765961) + (xy 154.385635 127.726692) + (xy 154.405468 127.5) + (xy 154.404855 127.492999) + (xy 154.385635 127.27331) + (xy 154.385635 127.273308) + (xy 154.326739 127.053504) + (xy 154.230568 126.847266) + (xy 154.100047 126.660861) + (xy 154.100046 126.660859) + (xy 153.93914 126.499953) + (xy 153.795567 126.399423) + (xy 153.75588 126.353499) + (xy 153.742742 126.294241) + (xy 153.759301 126.23585) + (xy 153.827179 126.118284) + (xy 153.885674 125.938256) + (xy 153.90546 125.75) + (xy 153.885674 125.561744) + (xy 153.840557 125.422888) + (xy 153.827179 125.381715) + (xy 153.732535 125.217786) + (xy 153.719427 125.203229) + (xy 153.657347 125.134282) + (xy 153.633736 125.095751) + (xy 153.6255 125.051313) + (xy 153.6255 116.744143) + (xy 153.637579 116.69076) + (xy 153.671464 116.647777) + (xy 153.720552 116.623569) + (xy 153.775281 116.622853) + (xy 153.905352 116.6505) + (xy 153.905354 116.6505) + (xy 154.094646 116.6505) + (xy 154.094648 116.6505) + (xy 154.224716 116.622853) + (xy 154.279803 116.611144) + (xy 154.45273 116.534151) + (xy 154.502668 116.497869) + (xy 154.60587 116.422889) + (xy 154.646695 116.377549) + (xy 154.732533 116.282216) + (xy 154.827179 116.118284) + (xy 154.885674 115.938256) + (xy 154.90546 115.75) + (xy 154.885674 115.561744) + (xy 154.846364 115.44076) + (xy 154.827179 115.381715) + (xy 154.732535 115.217786) + (xy 154.692108 115.172888) + (xy 154.657347 115.134282) + (xy 154.633736 115.095751) + (xy 154.6255 115.051313) + (xy 154.6255 104.310452) + (xy 154.634939 104.262999) + (xy 154.661819 104.222771) + (xy 156.697772 102.186819) + (xy 156.738 102.159939) + (xy 156.785453 102.1505) + (xy 156.844648 102.1505) + (xy 157.029798 102.111145) + (xy 157.029797 102.111145) + (xy 157.029803 102.111144) + (xy 157.200063 102.035338) + (xy 157.260229 102.025) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 145.717819 102.426828) + (xy 145.767182 102.457078) + (xy 145.878599 102.568495) + (xy 146.07217 102.704035) + (xy 146.286337 102.803903) + (xy 146.514592 102.865063) + (xy 146.75 102.885659) + (xy 146.985408 102.865063) + (xy 147.213663 102.803903) + (xy 147.218096 102.801835) + (xy 147.278609 102.790483) + (xy 147.337125 102.809637) + (xy 147.379214 102.854575) + (xy 147.3945 102.914218) + (xy 147.3945 126.285812) + (xy 147.380489 126.343069) + (xy 147.341623 126.387387) + (xy 147.180859 126.499953) + (xy 147.019953 126.660859) + (xy 146.889433 126.847263) + (xy 146.862382 126.905275) + (xy 146.816625 126.95745) + (xy 146.75 126.976869) + (xy 146.683375 126.95745) + (xy 146.637618 126.905275) + (xy 146.610568 126.847266) + (xy 146.480047 126.660861) + (xy 146.480046 126.660859) + (xy 146.31914 126.499953) + (xy 146.132735 126.369432) + (xy 145.926497 126.273261) + (xy 145.706689 126.214364) + (xy 145.668694 126.21104) + (xy 145.611362 126.191112) + (xy 145.570356 126.146362) + (xy 145.555501 126.087512) + (xy 145.555501 113.489207) + (xy 145.56157 113.450889) + (xy 145.565675 113.438256) + (xy 145.585461 113.25) + (xy 145.565675 113.061744) + (xy 145.561569 113.049109) + (xy 145.555501 113.010793) + (xy 145.555501 102.544759) + (xy 145.569016 102.488464) + (xy 145.606616 102.444441) + (xy 145.660103 102.422286) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 153.781591 80.4957) + (xy 153.893308 80.525635) + (xy 154.044435 80.538856) + (xy 154.119999 80.545468) + (xy 154.119999 80.545467) + (xy 154.12 80.545468) + (xy 154.346692 80.525635) + (xy 154.415049 80.507319) + (xy 154.479235 80.507319) + (xy 154.534823 80.539413) + (xy 157.338181 83.342771) + (xy 157.365061 83.382999) + (xy 157.3745 83.430452) + (xy 157.3745 100.351382) + (xy 157.359473 100.41055) + (xy 157.318035 100.455377) + (xy 157.260229 100.475) + (xy 157.200064 100.464662) + (xy 157.078528 100.41055) + (xy 157.029803 100.388856) + (xy 157.029802 100.388855) + (xy 157.029798 100.388854) + (xy 156.844648 100.3495) + (xy 156.844646 100.3495) + (xy 156.655354 100.3495) + (xy 156.655352 100.3495) + (xy 156.470197 100.388855) + (xy 156.297269 100.465848) + (xy 156.144129 100.57711) + (xy 156.017466 100.717783) + (xy 155.92282 100.881715) + (xy 155.864326 101.061742) + (xy 155.846679 101.229649) + (xy 155.835279 101.27007) + (xy 155.811039 101.304368) + (xy 153.837181 103.278228) + (xy 153.787818 103.308478) + (xy 153.730102 103.31302) + (xy 153.676615 103.290865) + (xy 153.639015 103.246842) + (xy 153.6255 103.190547) + (xy 153.6255 80.74274) + (xy 153.627763 80.722236) + (xy 153.625561 80.652144) + (xy 153.6255 80.64825) + (xy 153.6255 80.615476) + (xy 153.638288 80.560632) + (xy 153.674014 80.5171) + (xy 153.725309 80.493859) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 167.136625 79.782549) + (xy 167.182382 79.834725) + (xy 167.209431 79.892733) + (xy 167.339953 80.07914) + (xy 167.500859 80.240046) + (xy 167.661623 80.352613) + (xy 167.700489 80.396931) + (xy 167.7145 80.454188) + (xy 167.7145 101.373171) + (xy 167.70195 101.42753) + (xy 167.666841 101.470885) + (xy 167.61628 101.494461) + (xy 167.605893 101.496669) + (xy 167.582894 101.501557) + (xy 167.40997 101.578549) + (xy 167.25683 101.689811) + (xy 167.130165 101.830487) + (xy 167.124654 101.840032) + (xy 167.081501 101.884095) + (xy 167.022458 101.901918) + (xy 166.962132 101.889094) + (xy 166.915446 101.848794) + (xy 166.89395 101.790991) + (xy 166.885674 101.712243) + (xy 166.845551 101.588757) + (xy 166.827179 101.532214) + (xy 166.732533 101.368282) + (xy 166.60587 101.227609) + (xy 166.476615 101.133701) + (xy 166.439015 101.089678) + (xy 166.4255 101.033383) + (xy 166.4255 80.454188) + (xy 166.439511 80.396931) + (xy 166.478377 80.352613) + (xy 166.552847 80.300468) + (xy 166.639139 80.240047) + (xy 166.800047 80.079139) + (xy 166.930568 79.892734) + (xy 166.957618 79.834724) + (xy 167.003375 79.782549) + (xy 167.07 79.763129) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 179.273994 76.759938) + (xy 179.652783 76.916838) + (xy 179.693009 76.943717) + (xy 180.806281 78.056988) + (xy 180.833161 78.097216) + (xy 180.990061 78.476005) + (xy 180.9995 78.523458) + (xy 180.9995 128.226542) + (xy 180.990061 128.273995) + (xy 180.833161 128.652782) + (xy 180.806281 128.69301) + (xy 179.693009 129.806281) + (xy 179.652781 129.833161) + (xy 179.273995 129.990061) + (xy 179.226542 129.9995) + (xy 161.93543 129.9995) + (xy 161.890632 129.988036) + (xy 161.846662 129.9995) + (xy 152.024814 129.9995) + (xy 151.990667 129.990926) + (xy 151.958379 129.9995) + (xy 116.773458 129.9995) + (xy 116.726005 129.990061) + (xy 116.347216 129.833161) + (xy 116.306988 129.806281) + (xy 115.193717 128.693009) + (xy 115.166838 128.652782) + (xy 115.040538 128.347869) + (xy 141.6395 128.347869) + (xy 141.645909 128.407483) + (xy 141.696204 128.542331) + (xy 141.782454 128.657546) + (xy 141.897669 128.743796) + (xy 142.032517 128.794091) + (xy 142.092127 128.8005) + (xy 143.787872 128.800499) + (xy 143.847483 128.794091) + (xy 143.982331 128.743796) + (xy 144.097546 128.657546) + (xy 144.183796 128.542331) + (xy 144.234091 128.407483) + (xy 144.237862 128.372405) + (xy 144.258239 128.316486) + (xy 144.302328 128.276501) + (xy 144.359969 128.261667) + (xy 144.417882 128.2754) + (xy 144.462725 128.314537) + (xy 144.479951 128.339138) + (xy 144.640859 128.500046) + (xy 144.827264 128.630567) + (xy 144.827265 128.630567) + (xy 144.827266 128.630568) + (xy 145.033504 128.726739) + (xy 145.253308 128.785635) + (xy 145.48 128.805468) + (xy 145.706692 128.785635) + (xy 145.926496 128.726739) + (xy 146.132734 128.630568) + (xy 146.319139 128.500047) + (xy 146.480047 128.339139) + (xy 146.610568 128.152734) + (xy 146.637618 128.094724) + (xy 146.683375 128.042549) + (xy 146.75 128.023129) + (xy 146.816625 128.042549) + (xy 146.862382 128.094725) + (xy 146.889431 128.152733) + (xy 147.019953 128.33914) + (xy 147.180859 128.500046) + (xy 147.367264 128.630567) + (xy 147.367265 128.630567) + (xy 147.367266 128.630568) + (xy 147.573504 128.726739) + (xy 147.793308 128.785635) + (xy 148.02 128.805468) + (xy 148.246692 128.785635) + (xy 148.466496 128.726739) + (xy 148.672734 128.630568) + (xy 148.859139 128.500047) + (xy 149.020047 128.339139) + (xy 149.150568 128.152734) + (xy 149.177618 128.094724) + (xy 149.223375 128.042549) + (xy 149.29 128.023129) + (xy 149.356625 128.042549) + (xy 149.402382 128.094725) + (xy 149.429431 128.152733) + (xy 149.559953 128.33914) + (xy 149.720859 128.500046) + (xy 149.907264 128.630567) + (xy 149.907265 128.630567) + (xy 149.907266 128.630568) + (xy 150.113504 128.726739) + (xy 150.333308 128.785635) + (xy 150.56 128.805468) + (xy 150.564302 128.805091) + (xy 150.61752 128.812096) + (xy 150.662794 128.840938) + (xy 151.2872 129.465344) + (xy 151.294016 129.472736) + (xy 151.329369 129.514357) + (xy 151.394428 129.563813) + (xy 151.397012 129.565832) + (xy 151.409771 129.576089) + (xy 151.4607 129.617028) + (xy 151.460701 129.617028) + (xy 151.460703 129.61703) + (xy 151.460869 129.617112) + (xy 151.480819 129.629486) + (xy 151.480971 129.629602) + (xy 151.555171 129.66393) + (xy 151.55812 129.665344) + (xy 151.57611 129.674266) + (xy 151.631304 129.70164) + (xy 151.631305 129.70164) + (xy 151.631307 129.701641) + (xy 151.631479 129.701683) + (xy 151.653624 129.709479) + (xy 151.653803 129.709562) + (xy 151.733579 129.727121) + (xy 151.736827 129.727882) + (xy 151.816111 129.7476) + (xy 151.816295 129.747604) + (xy 151.8396 129.750459) + (xy 151.839784 129.7505) + (xy 151.921463 129.7505) + (xy 151.924821 129.750545) + (xy 151.961737 129.751545) + (xy 151.988911 129.759554) + (xy 152.00144 129.753723) + (xy 152.006473 129.752757) + (xy 152.00665 129.752722) + (xy 152.030024 129.7505) + (xy 161.834379 129.7505) + (xy 161.844441 129.750909) + (xy 161.856724 129.751909) + (xy 161.890994 129.763887) + (xy 161.918687 129.752635) + (xy 161.979806 129.744307) + (xy 161.983123 129.743902) + (xy 162.064316 129.735073) + (xy 162.064488 129.735014) + (xy 162.087356 129.729655) + (xy 162.087537 129.729631) + (xy 162.087538 129.72963) + (xy 162.087541 129.72963) + (xy 162.119704 129.717812) + (xy 162.164261 129.701442) + (xy 162.167288 129.700376) + (xy 162.24478 129.674267) + (xy 162.24494 129.67417) + (xy 162.266114 129.664024) + (xy 162.266288 129.663961) + (xy 162.33515 129.619944) + (xy 162.337951 129.618207) + (xy 162.373472 129.596835) + (xy 162.407948 129.576093) + (xy 162.407949 129.576091) + (xy 162.407954 129.576089) + (xy 162.408085 129.575964) + (xy 162.426587 129.561499) + (xy 162.426744 129.5614) + (xy 162.484538 129.503604) + (xy 162.486869 129.501335) + (xy 162.546207 129.445129) + (xy 162.546311 129.444974) + (xy 162.561263 129.426879) + (xy 164.309051 127.679091) + (xy 164.364636 127.646999) + (xy 164.428824 127.646999) + (xy 164.484411 127.679093) + (xy 164.516505 127.73468) + (xy 164.573261 127.946497) + (xy 164.669432 128.152735) + (xy 164.799953 128.33914) + (xy 164.960859 128.500046) + (xy 165.147264 128.630567) + (xy 165.147265 128.630567) + (xy 165.147266 128.630568) + (xy 165.353504 128.726739) + (xy 165.573308 128.785635) + (xy 165.8 128.805468) + (xy 166.026692 128.785635) + (xy 166.246496 128.726739) + (xy 166.452734 128.630568) + (xy 166.639139 128.500047) + (xy 166.800047 128.339139) + (xy 166.930568 128.152734) + (xy 166.957618 128.094724) + (xy 167.003375 128.042549) + (xy 167.07 128.023129) + (xy 167.136625 128.042549) + (xy 167.182382 128.094725) + (xy 167.209431 128.152733) + (xy 167.339953 128.33914) + (xy 167.500859 128.500046) + (xy 167.687264 128.630567) + (xy 167.687265 128.630567) + (xy 167.687266 128.630568) + (xy 167.893504 128.726739) + (xy 168.113308 128.785635) + (xy 168.34 128.805468) + (xy 168.566692 128.785635) + (xy 168.786496 128.726739) + (xy 168.992734 128.630568) + (xy 169.179139 128.500047) + (xy 169.340047 128.339139) + (xy 169.470568 128.152734) + (xy 169.497618 128.094724) + (xy 169.543375 128.042549) + (xy 169.61 128.023129) + (xy 169.676625 128.042549) + (xy 169.722382 128.094725) + (xy 169.749431 128.152733) + (xy 169.879953 128.33914) + (xy 170.040859 128.500046) + (xy 170.227264 128.630567) + (xy 170.227265 128.630567) + (xy 170.227266 128.630568) + (xy 170.433504 128.726739) + (xy 170.653308 128.785635) + (xy 170.88 128.805468) + (xy 171.106692 128.785635) + (xy 171.326496 128.726739) + (xy 171.532734 128.630568) + (xy 171.719139 128.500047) + (xy 171.880047 128.339139) + (xy 172.010568 128.152734) + (xy 172.037618 128.094724) + (xy 172.083375 128.042549) + (xy 172.15 128.023129) + (xy 172.216625 128.042549) + (xy 172.262382 128.094725) + (xy 172.289431 128.152733) + (xy 172.419953 128.33914) + (xy 172.580859 128.500046) + (xy 172.767264 128.630567) + (xy 172.767265 128.630567) + (xy 172.767266 128.630568) + (xy 172.973504 128.726739) + (xy 173.193308 128.785635) + (xy 173.42 128.805468) + (xy 173.646692 128.785635) + (xy 173.866496 128.726739) + (xy 174.072734 128.630568) + (xy 174.259139 128.500047) + (xy 174.420047 128.339139) + (xy 174.550568 128.152734) + (xy 174.577618 128.094724) + (xy 174.623375 128.042549) + (xy 174.69 128.023129) + (xy 174.756625 128.042549) + (xy 174.802382 128.094725) + (xy 174.829431 128.152733) + (xy 174.959953 128.33914) + (xy 175.120859 128.500046) + (xy 175.307264 128.630567) + (xy 175.307265 128.630567) + (xy 175.307266 128.630568) + (xy 175.513504 128.726739) + (xy 175.733308 128.785635) + (xy 175.96 128.805468) + (xy 176.186692 128.785635) + (xy 176.406496 128.726739) + (xy 176.612734 128.630568) + (xy 176.799139 128.500047) + (xy 176.960047 128.339139) + (xy 177.090568 128.152734) + (xy 177.117618 128.094724) + (xy 177.163375 128.042549) + (xy 177.23 128.023129) + (xy 177.296625 128.042549) + (xy 177.342382 128.094725) + (xy 177.369431 128.152733) + (xy 177.499953 128.33914) + (xy 177.660859 128.500046) + (xy 177.847264 128.630567) + (xy 177.847265 128.630567) + (xy 177.847266 128.630568) + (xy 178.053504 128.726739) + (xy 178.273308 128.785635) + (xy 178.5 128.805468) + (xy 178.726692 128.785635) + (xy 178.946496 128.726739) + (xy 179.152734 128.630568) + (xy 179.339139 128.500047) + (xy 179.500047 128.339139) + (xy 179.630568 128.152734) + (xy 179.726739 127.946496) + (xy 179.785635 127.726692) + (xy 179.805468 127.5) + (xy 179.804855 127.492999) + (xy 179.785635 127.27331) + (xy 179.785635 127.273308) + (xy 179.726739 127.053504) + (xy 179.630568 126.847266) + (xy 179.500047 126.660861) + (xy 179.500046 126.660859) + (xy 179.33914 126.499953) + (xy 179.152735 126.369432) + (xy 178.946497 126.273261) + (xy 178.726689 126.214364) + (xy 178.499999 126.194531) + (xy 178.27331 126.214364) + (xy 178.053502 126.273261) + (xy 177.847264 126.369432) + (xy 177.660859 126.499953) + (xy 177.499953 126.660859) + (xy 177.369433 126.847263) + (xy 177.342382 126.905275) + (xy 177.296625 126.95745) + (xy 177.23 126.976869) + (xy 177.163375 126.95745) + (xy 177.117618 126.905275) + (xy 177.090568 126.847266) + (xy 176.960047 126.660861) + (xy 176.960046 126.660859) + (xy 176.79914 126.499953) + (xy 176.612735 126.369432) + (xy 176.406497 126.273261) + (xy 176.186689 126.214364) + (xy 175.959999 126.194531) + (xy 175.73331 126.214364) + (xy 175.513502 126.273261) + (xy 175.307264 126.369432) + (xy 175.120859 126.499953) + (xy 174.959953 126.660859) + (xy 174.829433 126.847263) + (xy 174.802382 126.905275) + (xy 174.756625 126.95745) + (xy 174.69 126.976869) + (xy 174.623375 126.95745) + (xy 174.577618 126.905275) + (xy 174.550568 126.847266) + (xy 174.420047 126.660861) + (xy 174.420046 126.660859) + (xy 174.25914 126.499953) + (xy 174.072735 126.369432) + (xy 173.866497 126.273261) + (xy 173.646689 126.214364) + (xy 173.419999 126.194531) + (xy 173.19331 126.214364) + (xy 172.973502 126.273261) + (xy 172.767264 126.369432) + (xy 172.580859 126.499953) + (xy 172.419953 126.660859) + (xy 172.289433 126.847263) + (xy 172.262382 126.905275) + (xy 172.216625 126.95745) + (xy 172.15 126.976869) + (xy 172.083375 126.95745) + (xy 172.037618 126.905275) + (xy 172.010568 126.847266) + (xy 171.880047 126.660861) + (xy 171.880046 126.660859) + (xy 171.71914 126.499953) + (xy 171.532735 126.369432) + (xy 171.326497 126.273261) + (xy 171.106689 126.214364) + (xy 170.879999 126.194531) + (xy 170.65331 126.214364) + (xy 170.433502 126.273261) + (xy 170.227264 126.369432) + (xy 170.040859 126.499953) + (xy 169.879953 126.660859) + (xy 169.749433 126.847263) + (xy 169.722382 126.905275) + (xy 169.676625 126.95745) + (xy 169.61 126.976869) + (xy 169.543375 126.95745) + (xy 169.497618 126.905275) + (xy 169.470568 126.847266) + (xy 169.340047 126.660861) + (xy 169.340046 126.660859) + (xy 169.17914 126.499953) + (xy 168.992735 126.369432) + (xy 168.786497 126.273261) + (xy 168.566689 126.214364) + (xy 168.339999 126.194531) + (xy 168.11331 126.214364) + (xy 167.893502 126.273261) + (xy 167.687264 126.369432) + (xy 167.500859 126.499953) + (xy 167.339953 126.660859) + (xy 167.209433 126.847263) + (xy 167.182382 126.905275) + (xy 167.136625 126.95745) + (xy 167.07 126.976869) + (xy 167.003375 126.95745) + (xy 166.957618 126.905275) + (xy 166.930568 126.847266) + (xy 166.800047 126.660861) + (xy 166.800046 126.660859) + (xy 166.63914 126.499953) + (xy 166.452735 126.369432) + (xy 166.246497 126.273261) + (xy 166.03468 126.216505) + (xy 165.979093 126.184411) + (xy 165.946999 126.128824) + (xy 165.946999 126.064636) + (xy 165.979091 126.009051) + (xy 168.590359 123.397783) + (xy 168.597727 123.39099) + (xy 168.639357 123.355631) + (xy 168.688815 123.290567) + (xy 168.690818 123.288004) + (xy 168.74203 123.224297) + (xy 168.742109 123.224138) + (xy 168.754492 123.204172) + (xy 168.754602 123.204029) + (xy 168.788916 123.129857) + (xy 168.790357 123.126852) + (xy 168.822648 123.061744) + (xy 168.826641 123.053693) + (xy 168.826687 123.053506) + (xy 168.834481 123.031371) + (xy 168.834562 123.031197) + (xy 168.852136 122.951351) + (xy 168.85287 122.948218) + (xy 168.8726 122.868889) + (xy 168.872605 122.868703) + (xy 168.875459 122.845399) + (xy 168.8755 122.845216) + (xy 168.8755 122.763537) + (xy 168.875545 122.760179) + (xy 168.87555 122.75997) + (xy 168.877757 122.678527) + (xy 168.877721 122.678342) + (xy 168.8755 122.654976) + (xy 168.8755 121.739207) + (xy 168.881569 121.700889) + (xy 168.885674 121.688256) + (xy 168.90546 121.5) + (xy 168.885674 121.311744) + (xy 168.881568 121.299109) + (xy 168.8755 121.260793) + (xy 168.8755 115.494143) + (xy 168.887579 115.44076) + (xy 168.921464 115.397777) + (xy 168.970552 115.373569) + (xy 169.025281 115.372853) + (xy 169.155352 115.4005) + (xy 169.155354 115.4005) + (xy 169.344646 115.4005) + (xy 169.344648 115.4005) + (xy 169.474716 115.372853) + (xy 169.529803 115.361144) + (xy 169.70273 115.284151) + (xy 169.855871 115.172888) + (xy 169.982533 115.032216) + (xy 170.077179 114.868284) + (xy 170.135674 114.688256) + (xy 170.15546 114.5) + (xy 170.135674 114.311744) + (xy 170.077179 114.131716) + (xy 170.077179 114.131715) + (xy 169.982535 113.967786) + (xy 169.949776 113.931404) + (xy 169.907347 113.884282) + (xy 169.883736 113.845751) + (xy 169.8755 113.801313) + (xy 169.8755 106.948687) + (xy 169.883736 106.904249) + (xy 169.907347 106.865717) + (xy 169.982533 106.782216) + (xy 169.999129 106.753472) + (xy 170.077179 106.618284) + (xy 170.090557 106.57711) + (xy 170.135674 106.438256) + (xy 170.15546 106.25) + (xy 170.135674 106.061744) + (xy 170.08431 105.903662) + (xy 170.077179 105.881715) + (xy 169.982533 105.717783) + (xy 169.85587 105.57711) + (xy 169.70273 105.465848) + (xy 169.529802 105.388855) + (xy 169.344648 105.3495) + (xy 169.344646 105.3495) + (xy 169.155354 105.3495) + (xy 169.155352 105.3495) + (xy 168.970197 105.388855) + (xy 168.797269 105.465848) + (xy 168.644129 105.57711) + (xy 168.517466 105.717783) + (xy 168.42282 105.881715) + (xy 168.364326 106.061742) + (xy 168.343245 106.26232) + (xy 168.317637 106.3257) + (xy 168.262335 106.36588) + (xy 168.194143 106.370648) + (xy 168.094648 106.3495) + (xy 168.094646 106.3495) + (xy 167.905354 106.3495) + (xy 167.905352 106.3495) + (xy 167.720197 106.388855) + (xy 167.547269 106.465848) + (xy 167.394129 106.57711) + (xy 167.267466 106.717783) + (xy 167.17282 106.881715) + (xy 167.114326 107.061742) + (xy 167.09454 107.249999) + (xy 167.114326 107.438257) + (xy 167.118431 107.450889) + (xy 167.1245 107.489207) + (xy 167.1245 121.260793) + (xy 167.118431 121.299111) + (xy 167.114326 121.311742) + (xy 167.09454 121.5) + (xy 167.114326 121.688257) + (xy 167.118431 121.700889) + (xy 167.1245 121.739207) + (xy 167.1245 122.335994) + (xy 167.115061 122.383447) + (xy 167.088181 122.423675) + (xy 166.544559 122.967296) + (xy 166.490537 122.998959) + (xy 166.427931 123.000189) + (xy 166.372707 122.970671) + (xy 166.338947 122.917933) + (xy 166.327179 122.881715) + (xy 166.232533 122.717783) + (xy 166.10587 122.57711) + (xy 165.95273 122.465848) + (xy 165.779802 122.388855) + (xy 165.594648 122.3495) + (xy 165.594646 122.3495) + (xy 165.405354 122.3495) + (xy 165.405352 122.3495) + (xy 165.220197 122.388855) + (xy 165.047272 122.465847) + (xy 164.954719 122.533091) + (xy 164.894129 122.577112) + (xy 164.888401 122.583473) + (xy 164.846688 122.61378) + (xy 164.796253 122.6245) + (xy 158.310453 122.6245) + (xy 158.263 122.615061) + (xy 158.222771 122.588181) + (xy 158.161818 122.527227) + (xy 158.134939 122.486998) + (xy 158.1255 122.439546) + (xy 158.1255 117.951435) + (xy 158.139611 117.893986) + (xy 158.178731 117.849613) + (xy 158.233959 117.828413) + (xy 158.292723 117.835212) + (xy 158.34165 117.868463) + (xy 158.385809 117.917507) + (xy 158.538949 118.028769) + (xy 158.711877 118.105762) + (xy 158.897032 118.145118) + (xy 158.897034 118.145118) + (xy 159.086326 118.145118) + (xy 159.086328 118.145118) + (xy 159.246162 118.111144) + (xy 159.271483 118.105762) + (xy 159.44441 118.028769) + (xy 159.444411 118.028768) + (xy 159.453627 118.024665) + (xy 159.504063 118.013944) + (xy 159.554499 118.024665) + (xy 159.720772 118.098695) + (xy 159.905927 118.138051) + (xy 159.905929 118.138051) + (xy 160.095221 118.138051) + (xy 160.095223 118.138051) + (xy 160.24713 118.105762) + (xy 160.280378 118.098695) + (xy 160.435871 118.029463) + (xy 160.486307 118.018743) + (xy 160.536743 118.029464) + (xy 160.720197 118.111144) + (xy 160.905352 118.1505) + (xy 160.905354 118.1505) + (xy 161.094646 118.1505) + (xy 161.094648 118.1505) + (xy 161.218083 118.124262) + (xy 161.279803 118.111144) + (xy 161.45273 118.034151) + (xy 161.51672 117.98766) + (xy 161.60587 117.922889) + (xy 161.631895 117.893986) + (xy 161.732533 117.782216) + (xy 161.827179 117.618284) + (xy 161.885674 117.438256) + (xy 161.90546 117.25) + (xy 161.885674 117.061744) + (xy 161.827179 116.881716) + (xy 161.827179 116.881715) + (xy 161.732535 116.717786) + (xy 161.662156 116.639623) + (xy 161.635702 116.610243) + (xy 161.612091 116.571712) + (xy 161.603855 116.527274) + (xy 161.603855 111.444832) + (xy 161.612091 111.400394) + (xy 161.635702 111.361862) + (xy 161.710888 111.278361) + (xy 161.805534 111.114429) + (xy 161.864029 110.934401) + (xy 161.883815 110.746145) + (xy 161.864029 110.557889) + (xy 161.805534 110.377861) + (xy 161.805534 110.37786) + (xy 161.710888 110.213928) + (xy 161.584225 110.073255) + (xy 161.431085 109.961993) + (xy 161.258157 109.885) + (xy 161.073003 109.845645) + (xy 161.073001 109.845645) + (xy 160.883709 109.845645) + (xy 160.883707 109.845645) + (xy 160.775856 109.868569) + (xy 160.721127 109.867853) + (xy 160.672039 109.843645) + (xy 160.638154 109.800662) + (xy 160.626075 109.747279) + (xy 160.626075 102.244233) + (xy 160.638625 102.189875) + (xy 160.673733 102.146519) + (xy 160.724295 102.122943) + (xy 160.759635 102.11543) + (xy 160.779803 102.111144) + (xy 160.95273 102.034151) + (xy 160.98269 102.012384) + (xy 161.10587 101.922889) + (xy 161.124753 101.901918) + (xy 161.232533 101.782216) + (xy 161.327179 101.618284) + (xy 161.385674 101.438256) + (xy 161.40546 101.25) + (xy 161.385674 101.061744) + (xy 161.3355 100.907325) + (xy 161.327179 100.881715) + (xy 161.232533 100.717783) + (xy 161.10587 100.57711) + (xy 160.95273 100.465848) + (xy 160.779802 100.388855) + (xy 160.594648 100.3495) + (xy 160.594646 100.3495) + (xy 160.405354 100.3495) + (xy 160.405352 100.3495) + (xy 160.220197 100.388855) + (xy 160.056904 100.461559) + (xy 159.993512 100.471601) + (xy 159.953489 100.45624) + (xy 159.952322 100.458864) + (xy 159.767489 100.37657) + (xy 159.582335 100.337215) + (xy 159.582333 100.337215) + (xy 159.4995 100.337215) + (xy 159.4375 100.320602) + (xy 159.392113 100.275215) + (xy 159.3755 100.213215) + (xy 159.3755 98.197577) + (xy 159.389611 98.140128) + (xy 159.428731 98.095755) + (xy 159.483958 98.074555) + (xy 159.542723 98.081354) + (xy 159.59165 98.114604) + (xy 159.644132 98.172891) + (xy 159.797269 98.284151) + (xy 159.970197 98.361144) + (xy 160.155352 98.4005) + (xy 160.155354 98.4005) + (xy 160.344646 98.4005) + (xy 160.344648 98.4005) + (xy 160.468083 98.374262) + (xy 160.529803 98.361144) + (xy 160.70273 98.284151) + (xy 160.705393 98.282216) + (xy 160.85587 98.172889) + (xy 160.90835 98.114604) + (xy 160.958101 98.05935) + (xy 161.011932 98.024392) + (xy 161.076031 98.021033) + (xy 161.133222 98.050173) + (xy 161.168181 98.104005) + (xy 161.17282 98.118284) + (xy 161.267466 98.282216) + (xy 161.394129 98.422889) + (xy 161.547269 98.534151) + (xy 161.720197 98.611144) + (xy 161.905352 98.6505) + (xy 161.905354 98.6505) + (xy 162.094646 98.6505) + (xy 162.094648 98.6505) + (xy 162.218084 98.624262) + (xy 162.279803 98.611144) + (xy 162.45273 98.534151) + (xy 162.605871 98.422888) + (xy 162.732533 98.282216) + (xy 162.827179 98.118284) + (xy 162.885674 97.938256) + (xy 162.90546 97.75) + (xy 162.885674 97.561744) + (xy 162.827179 97.381716) + (xy 162.827179 97.381715) + (xy 162.732535 97.217786) + (xy 162.719427 97.203229) + (xy 162.657347 97.134282) + (xy 162.633736 97.095751) + (xy 162.6255 97.051313) + (xy 162.6255 83.948687) + (xy 162.633736 83.904249) + (xy 162.657347 83.865717) + (xy 162.732533 83.782216) + (xy 162.775601 83.707621) + (xy 162.827179 83.618284) + (xy 162.852657 83.539871) + (xy 162.885674 83.438256) + (xy 162.90546 83.25) + (xy 162.885674 83.061744) + (xy 162.827179 82.881716) + (xy 162.827179 82.881715) + (xy 162.732533 82.717783) + (xy 162.60587 82.57711) + (xy 162.45273 82.465848) + (xy 162.279802 82.388855) + (xy 162.127129 82.356404) + (xy 162.074874 82.33148) + (xy 162.03963 82.285549) + (xy 162.02908 82.228624) + (xy 162.045523 82.173114) + (xy 162.077179 82.118284) + (xy 162.077647 82.116843) + (xy 162.135674 81.938256) + (xy 162.15546 81.75) + (xy 162.135674 81.561744) + (xy 162.077179 81.381716) + (xy 162.077179 81.381715) + (xy 161.982533 81.217783) + (xy 161.85587 81.07711) + (xy 161.70273 80.965848) + (xy 161.529802 80.888855) + (xy 161.344648 80.8495) + (xy 161.344646 80.8495) + (xy 161.155354 80.8495) + (xy 161.155352 80.8495) + (xy 160.970197 80.888855) + (xy 160.797269 80.965848) + (xy 160.644132 81.077108) + (xy 160.59165 81.135396) + (xy 160.542723 81.168646) + (xy 160.483958 81.175445) + (xy 160.428731 81.154245) + (xy 160.389611 81.109872) + (xy 160.3755 81.052423) + (xy 160.3755 80.650651) + (xy 160.393962 80.585554) + (xy 160.443849 80.539841) + (xy 160.510307 80.527123) + (xy 160.719999 80.545468) + (xy 160.719999 80.545467) + (xy 160.72 80.545468) + (xy 160.946692 80.525635) + (xy 161.166496 80.466739) + (xy 161.372734 80.370568) + (xy 161.559139 80.240047) + (xy 161.720047 80.079139) + (xy 161.850568 79.892734) + (xy 161.877618 79.834724) + (xy 161.923375 79.782549) + (xy 161.99 79.763129) + (xy 162.056625 79.782549) + (xy 162.102382 79.834725) + (xy 162.129431 79.892733) + (xy 162.259953 80.07914) + (xy 162.420859 80.240046) + (xy 162.607264 80.370567) + (xy 162.607265 80.370567) + (xy 162.607266 80.370568) + (xy 162.813504 80.466739) + (xy 163.033308 80.525635) + (xy 163.184435 80.538856) + (xy 163.259999 80.545468) + (xy 163.259999 80.545467) + (xy 163.26 80.545468) + (xy 163.486692 80.525635) + (xy 163.555048 80.507319) + (xy 163.619234 80.507319) + (xy 163.674822 80.539413) + (xy 164.088181 80.952772) + (xy 164.115061 80.993) + (xy 164.1245 81.040453) + (xy 164.1245 101.067756) + (xy 164.122235 101.088262) + (xy 164.124411 101.157483) + (xy 164.118404 101.199694) + (xy 164.114326 101.212243) + (xy 164.09454 101.4005) + (xy 164.114326 101.588757) + (xy 164.17282 101.768784) + (xy 164.267466 101.932716) + (xy 164.394129 102.073389) + (xy 164.547269 102.184651) + (xy 164.720197 102.261644) + (xy 164.905352 102.301) + (xy 165.107679 102.301) + (xy 165.107679 102.301409) + (xy 165.144277 102.301408) + (xy 165.191384 102.322379) + (xy 165.225888 102.360699) + (xy 165.267466 102.432714) + (xy 165.394129 102.573388) + (xy 165.547269 102.68465) + (xy 165.720197 102.761643) + (xy 165.905352 102.800999) + (xy 165.905354 102.800999) + (xy 166.094646 102.800999) + (xy 166.094648 102.800999) + (xy 166.218083 102.774761) + (xy 166.279803 102.761643) + (xy 166.45273 102.68465) + (xy 166.493979 102.654681) + (xy 166.60587 102.573388) + (xy 166.732532 102.432716) + (xy 166.732534 102.432714) + (xy 166.738042 102.423172) + (xy 166.781194 102.379107) + (xy 166.840237 102.361281) + (xy 166.900564 102.374104) + (xy 166.947252 102.414403) + (xy 166.96875 102.472208) + (xy 166.970459 102.488464) + (xy 166.977027 102.550958) + (xy 167.035521 102.730985) + (xy 167.130167 102.894917) + (xy 167.25683 103.03559) + (xy 167.40997 103.146852) + (xy 167.582898 103.223845) + (xy 167.768053 103.263201) + (xy 167.768055 103.263201) + (xy 167.957347 103.263201) + (xy 167.957349 103.263201) + (xy 168.080784 103.236963) + (xy 168.142504 103.223845) + (xy 168.315431 103.146852) + (xy 168.372182 103.10562) + (xy 168.468571 103.03559) + (xy 168.595234 102.894917) + (xy 168.68988 102.730985) + (xy 168.707548 102.676609) + (xy 168.748375 102.550957) + (xy 168.766023 102.383041) + (xy 168.777423 102.342623) + (xy 168.80166 102.30833) + (xy 168.81012 102.299872) + (xy 168.812581 102.296697) + (xy 168.820152 102.287833) + (xy 168.850062 102.255984) + (xy 168.859713 102.238428) + (xy 168.870393 102.222169) + (xy 168.882674 102.206338) + (xy 168.900018 102.166253) + (xy 168.90516 102.155758) + (xy 168.908051 102.1505) + (xy 168.926197 102.117494) + (xy 168.931178 102.09809) + (xy 168.93748 102.079685) + (xy 168.945438 102.061297) + (xy 168.95227 102.01815) + (xy 168.954639 102.006718) + (xy 168.9655 101.964422) + (xy 168.9655 101.944386) + (xy 168.967027 101.924987) + (xy 168.967359 101.922889) + (xy 168.97016 101.905206) + (xy 168.96605 101.861727) + (xy 168.9655 101.850058) + (xy 168.9655 80.454188) + (xy 168.979511 80.396931) + (xy 169.018377 80.352613) + (xy 169.092847 80.300468) + (xy 169.179139 80.240047) + (xy 169.340047 80.079139) + (xy 169.470568 79.892734) + (xy 169.497618 79.834724) + (xy 169.543375 79.782549) + (xy 169.61 79.763129) + (xy 169.676625 79.782549) + (xy 169.722382 79.834725) + (xy 169.749431 79.892733) + (xy 169.879953 80.07914) + (xy 170.040859 80.240046) + (xy 170.201623 80.352613) + (xy 170.240489 80.396931) + (xy 170.2545 80.454188) + (xy 170.2545 101.959242) + (xy 170.240985 102.015537) + (xy 170.203386 102.059558) + (xy 170.163202 102.088755) + (xy 170.144126 102.102614) + (xy 170.017466 102.243283) + (xy 169.92282 102.407215) + (xy 169.864326 102.587242) + (xy 169.845996 102.761643) + (xy 169.84454 102.7755) + (xy 169.849965 102.827112) + (xy 169.864326 102.963757) + (xy 169.92282 103.143784) + (xy 170.017466 103.307716) + (xy 170.144129 103.448389) + (xy 170.297269 103.559651) + (xy 170.470197 103.636644) + (xy 170.655352 103.676) + (xy 170.655354 103.676) + (xy 170.844646 103.676) + (xy 170.844648 103.676) + (xy 170.968084 103.649762) + (xy 171.029803 103.636644) + (xy 171.20273 103.559651) + (xy 171.355871 103.448388) + (xy 171.482533 103.307716) + (xy 171.577179 103.143784) + (xy 171.635674 102.963756) + (xy 171.65546 102.7755) + (xy 171.635674 102.587244) + (xy 171.603543 102.488355) + (xy 171.577179 102.407215) + (xy 171.522113 102.311838) + (xy 171.5055 102.249838) + (xy 171.5055 80.454188) + (xy 171.519511 80.396931) + (xy 171.558377 80.352613) + (xy 171.632847 80.300468) + (xy 171.719139 80.240047) + (xy 171.880047 80.079139) + (xy 172.010568 79.892734) + (xy 172.037618 79.834724) + (xy 172.083375 79.782549) + (xy 172.15 79.763129) + (xy 172.216625 79.782549) + (xy 172.262382 79.834725) + (xy 172.289431 79.892733) + (xy 172.419953 80.07914) + (xy 172.580859 80.240046) + (xy 172.741623 80.352613) + (xy 172.780489 80.396931) + (xy 172.7945 80.454188) + (xy 172.7945 102.654681) + (xy 172.780985 102.710976) + (xy 172.743387 102.754997) + (xy 172.658128 102.816941) + (xy 172.644126 102.827114) + (xy 172.517466 102.967783) + (xy 172.42282 103.131715) + (xy 172.364326 103.311742) + (xy 172.34454 103.499999) + (xy 172.364326 103.688257) + (xy 172.42282 103.868284) + (xy 172.517466 104.032216) + (xy 172.644129 104.172889) + (xy 172.797269 104.284151) + (xy 172.970197 104.361144) + (xy 173.155352 104.4005) + (xy 173.155354 104.4005) + (xy 173.344646 104.4005) + (xy 173.344648 104.4005) + (xy 173.468083 104.374262) + (xy 173.529803 104.361144) + (xy 173.70273 104.284151) + (xy 173.855871 104.172888) + (xy 173.982533 104.032216) + (xy 174.077179 103.868284) + (xy 174.135674 103.688256) + (xy 174.15546 103.5) + (xy 174.135674 103.311744) + (xy 174.105144 103.217784) + (xy 174.077179 103.131715) + (xy 174.062113 103.10562) + (xy 174.0455 103.04362) + (xy 174.0455 80.454188) + (xy 174.059511 80.396931) + (xy 174.098377 80.352613) + (xy 174.172847 80.300468) + (xy 174.259139 80.240047) + (xy 174.420047 80.079139) + (xy 174.550568 79.892734) + (xy 174.577618 79.834724) + (xy 174.623375 79.782549) + (xy 174.69 79.763129) + (xy 174.756625 79.782549) + (xy 174.802382 79.834725) + (xy 174.829431 79.892733) + (xy 174.959953 80.07914) + (xy 175.120859 80.240046) + (xy 175.281623 80.352613) + (xy 175.320489 80.396931) + (xy 175.3345 80.454188) + (xy 175.3345 100.375618) + (xy 175.320985 100.431913) + (xy 175.283385 100.475936) + (xy 175.144129 100.57711) + (xy 175.017466 100.717783) + (xy 174.92282 100.881715) + (xy 174.864326 101.061742) + (xy 174.84454 101.25) + (xy 174.864326 101.438257) + (xy 174.92282 101.618284) + (xy 175.017466 101.782216) + (xy 175.144129 101.922889) + (xy 175.297269 102.034151) + (xy 175.470197 102.111144) + (xy 175.655352 102.1505) + (xy 175.655354 102.1505) + (xy 175.844646 102.1505) + (xy 175.844648 102.1505) + (xy 175.968083 102.124262) + (xy 176.029803 102.111144) + (xy 176.20273 102.034151) + (xy 176.23269 102.012384) + (xy 176.35587 101.922889) + (xy 176.374753 101.901918) + (xy 176.482533 101.782216) + (xy 176.577179 101.618284) + (xy 176.635674 101.438256) + (xy 176.65546 101.25) + (xy 176.635674 101.061744) + (xy 176.591568 100.926002) + (xy 176.5855 100.887686) + (xy 176.5855 80.454188) + (xy 176.599511 80.396931) + (xy 176.638377 80.352613) + (xy 176.712847 80.300468) + (xy 176.799139 80.240047) + (xy 176.960047 80.079139) + (xy 177.090568 79.892734) + (xy 177.117618 79.834724) + (xy 177.163375 79.782549) + (xy 177.23 79.763129) + (xy 177.296625 79.782549) + (xy 177.342382 79.834725) + (xy 177.369431 79.892733) + (xy 177.499953 80.07914) + (xy 177.660859 80.240046) + (xy 177.821623 80.352613) + (xy 177.860489 80.396931) + (xy 177.8745 80.454188) + (xy 177.8745 101.301313) + (xy 177.866264 101.345751) + (xy 177.842652 101.384282) + (xy 177.82805 101.4005) + (xy 177.767464 101.467786) + (xy 177.67282 101.631715) + (xy 177.614326 101.811742) + (xy 177.59454 102) + (xy 177.614326 102.188257) + (xy 177.67282 102.368284) + (xy 177.767466 102.532216) + (xy 177.894129 102.672889) + (xy 178.047269 102.784151) + (xy 178.220197 102.861144) + (xy 178.405352 102.9005) + (xy 178.405354 102.9005) + (xy 178.594646 102.9005) + (xy 178.594648 102.9005) + (xy 178.761365 102.865063) + (xy 178.779803 102.861144) + (xy 178.95273 102.784151) + (xy 178.999735 102.75) + (xy 179.10587 102.672889) + (xy 179.232533 102.532216) + (xy 179.327179 102.368284) + (xy 179.335517 102.342623) + (xy 179.385674 102.188256) + (xy 179.40546 102) + (xy 179.385674 101.811744) + (xy 179.327179 101.631716) + (xy 179.327179 101.631715) + (xy 179.232535 101.467786) + (xy 179.196288 101.42753) + (xy 179.157347 101.384282) + (xy 179.133736 101.345751) + (xy 179.1255 101.301313) + (xy 179.1255 80.454188) + (xy 179.139511 80.396931) + (xy 179.178377 80.352613) + (xy 179.252847 80.300468) + (xy 179.339139 80.240047) + (xy 179.500047 80.079139) + (xy 179.630568 79.892734) + (xy 179.726739 79.686496) + (xy 179.785635 79.466692) + (xy 179.805468 79.24) + (xy 179.785635 79.013308) + (xy 179.726739 78.793504) + (xy 179.630568 78.587266) + (xy 179.500047 78.400861) + (xy 179.500046 78.400859) + (xy 179.33914 78.239953) + (xy 179.152735 78.109432) + (xy 178.946497 78.013261) + (xy 178.726689 77.954364) + (xy 178.499999 77.934531) + (xy 178.27331 77.954364) + (xy 178.053502 78.013261) + (xy 177.847264 78.109432) + (xy 177.660859 78.239953) + (xy 177.499953 78.400859) + (xy 177.369433 78.587263) + (xy 177.342382 78.645275) + (xy 177.296625 78.69745) + (xy 177.23 78.716869) + (xy 177.163375 78.69745) + (xy 177.117618 78.645275) + (xy 177.090568 78.587266) + (xy 176.960047 78.400861) + (xy 176.960046 78.400859) + (xy 176.79914 78.239953) + (xy 176.612735 78.109432) + (xy 176.406497 78.013261) + (xy 176.186689 77.954364) + (xy 175.959999 77.934531) + (xy 175.73331 77.954364) + (xy 175.513502 78.013261) + (xy 175.307264 78.109432) + (xy 175.120859 78.239953) + (xy 174.959953 78.400859) + (xy 174.829433 78.587263) + (xy 174.802382 78.645275) + (xy 174.756625 78.69745) + (xy 174.69 78.716869) + (xy 174.623375 78.69745) + (xy 174.577618 78.645275) + (xy 174.550568 78.587266) + (xy 174.420047 78.400861) + (xy 174.420046 78.400859) + (xy 174.25914 78.239953) + (xy 174.072735 78.109432) + (xy 173.866497 78.013261) + (xy 173.646689 77.954364) + (xy 173.419999 77.934531) + (xy 173.19331 77.954364) + (xy 172.973502 78.013261) + (xy 172.767264 78.109432) + (xy 172.580859 78.239953) + (xy 172.419953 78.400859) + (xy 172.289433 78.587263) + (xy 172.262382 78.645275) + (xy 172.216625 78.69745) + (xy 172.15 78.716869) + (xy 172.083375 78.69745) + (xy 172.037618 78.645275) + (xy 172.010568 78.587266) + (xy 171.880047 78.400861) + (xy 171.880046 78.400859) + (xy 171.71914 78.239953) + (xy 171.532735 78.109432) + (xy 171.326497 78.013261) + (xy 171.106689 77.954364) + (xy 170.879999 77.934531) + (xy 170.65331 77.954364) + (xy 170.433502 78.013261) + (xy 170.227264 78.109432) + (xy 170.040859 78.239953) + (xy 169.879953 78.400859) + (xy 169.749433 78.587263) + (xy 169.722382 78.645275) + (xy 169.676625 78.69745) + (xy 169.61 78.716869) + (xy 169.543375 78.69745) + (xy 169.497618 78.645275) + (xy 169.470568 78.587266) + (xy 169.340047 78.400861) + (xy 169.340046 78.400859) + (xy 169.17914 78.239953) + (xy 168.992735 78.109432) + (xy 168.786497 78.013261) + (xy 168.566689 77.954364) + (xy 168.339999 77.934531) + (xy 168.11331 77.954364) + (xy 167.893502 78.013261) + (xy 167.687264 78.109432) + (xy 167.500859 78.239953) + (xy 167.339953 78.400859) + (xy 167.209433 78.587263) + (xy 167.182382 78.645275) + (xy 167.136625 78.69745) + (xy 167.07 78.716869) + (xy 167.003375 78.69745) + (xy 166.957618 78.645275) + (xy 166.930568 78.587266) + (xy 166.800047 78.400861) + (xy 166.800046 78.400859) + (xy 166.63914 78.239953) + (xy 166.452735 78.109432) + (xy 166.246497 78.013261) + (xy 166.026689 77.954364) + (xy 165.799999 77.934531) + (xy 165.57331 77.954364) + (xy 165.353502 78.013261) + (xy 165.147264 78.109432) + (xy 164.960859 78.239953) + (xy 164.799953 78.400859) + (xy 164.669433 78.587263) + (xy 164.642382 78.645275) + (xy 164.596625 78.69745) + (xy 164.53 78.716869) + (xy 164.463375 78.69745) + (xy 164.417618 78.645275) + (xy 164.390568 78.587266) + (xy 164.260047 78.400861) + (xy 164.260046 78.400859) + (xy 164.09914 78.239953) + (xy 163.912735 78.109432) + (xy 163.706497 78.013261) + (xy 163.486689 77.954364) + (xy 163.259999 77.934531) + (xy 163.03331 77.954364) + (xy 162.813502 78.013261) + (xy 162.607264 78.109432) + (xy 162.420859 78.239953) + (xy 162.259953 78.400859) + (xy 162.129433 78.587263) + (xy 162.102382 78.645275) + (xy 162.056625 78.69745) + (xy 161.99 78.716869) + (xy 161.923375 78.69745) + (xy 161.877618 78.645275) + (xy 161.850568 78.587266) + (xy 161.720047 78.400861) + (xy 161.720046 78.400859) + (xy 161.55914 78.239953) + (xy 161.372735 78.109432) + (xy 161.166497 78.013261) + (xy 160.946689 77.954364) + (xy 160.719999 77.934531) + (xy 160.49331 77.954364) + (xy 160.273502 78.013261) + (xy 160.067264 78.109432) + (xy 159.880859 78.239953) + (xy 159.719953 78.400859) + (xy 159.589432 78.587264) + (xy 159.493261 78.793502) + (xy 159.434364 79.01331) + (xy 159.414531 79.239999) + (xy 159.434364 79.46669) + (xy 159.45268 79.535047) + (xy 159.45268 79.599234) + (xy 159.420586 79.654821) + (xy 159.366208 79.709199) + (xy 159.35011 79.722096) + (xy 159.302096 79.773225) + (xy 159.299391 79.776017) + (xy 159.279874 79.795534) + (xy 159.277415 79.798705) + (xy 159.269842 79.807572) + (xy 159.239935 79.83942) + (xy 159.230285 79.856974) + (xy 159.219609 79.873228) + (xy 159.207326 79.889063) + (xy 159.189975 79.929158) + (xy 159.184838 79.939644) + (xy 159.163802 79.977907) + (xy 159.158821 79.997309) + (xy 159.15252 80.015711) + (xy 159.144561 80.034102) + (xy 159.137728 80.077242) + (xy 159.13536 80.088674) + (xy 159.1245 80.130978) + (xy 159.1245 80.151016) + (xy 159.122973 80.170417) + (xy 159.117567 80.204543) + (xy 159.089383 80.265676) + (xy 159.03341 80.303074) + (xy 158.966146 80.305716) + (xy 158.907413 80.272823) + (xy 157.999043 79.364453) + (xy 157.970202 79.319181) + (xy 157.963196 79.265962) + (xy 157.965468 79.239997) + (xy 157.945635 79.01331) + (xy 157.945635 79.013308) + (xy 157.886739 78.793504) + (xy 157.790568 78.587266) + (xy 157.660047 78.400861) + (xy 157.660046 78.400859) + (xy 157.49914 78.239953) + (xy 157.312735 78.109432) + (xy 157.106497 78.013261) + (xy 156.886689 77.954364) + (xy 156.659999 77.934531) + (xy 156.43331 77.954364) + (xy 156.213502 78.013261) + (xy 156.007264 78.109432) + (xy 155.820859 78.239953) + (xy 155.659953 78.400859) + (xy 155.529433 78.587263) + (xy 155.502382 78.645275) + (xy 155.456625 78.69745) + (xy 155.39 78.716869) + (xy 155.323375 78.69745) + (xy 155.277618 78.645275) + (xy 155.250568 78.587266) + (xy 155.120047 78.400861) + (xy 155.120046 78.400859) + (xy 154.95914 78.239953) + (xy 154.772735 78.109432) + (xy 154.566497 78.013261) + (xy 154.346689 77.954364) + (xy 154.119999 77.934531) + (xy 153.89331 77.954364) + (xy 153.673502 78.013261) + (xy 153.467264 78.109432) + (xy 153.280859 78.239953) + (xy 153.119953 78.400859) + (xy 152.989433 78.587263) + (xy 152.962382 78.645275) + (xy 152.916625 78.69745) + (xy 152.85 78.716869) + (xy 152.783375 78.69745) + (xy 152.737618 78.645275) + (xy 152.710568 78.587266) + (xy 152.580047 78.400861) + (xy 152.580046 78.400859) + (xy 152.41914 78.239953) + (xy 152.232735 78.109432) + (xy 152.026497 78.013261) + (xy 151.806689 77.954364) + (xy 151.579999 77.934531) + (xy 151.35331 77.954364) + (xy 151.133502 78.013261) + (xy 150.927264 78.109432) + (xy 150.740859 78.239953) + (xy 150.579953 78.400859) + (xy 150.449433 78.587263) + (xy 150.422382 78.645275) + (xy 150.376625 78.69745) + (xy 150.31 78.716869) + (xy 150.243375 78.69745) + (xy 150.197618 78.645275) + (xy 150.170568 78.587266) + (xy 150.040047 78.400861) + (xy 150.040046 78.400859) + (xy 149.87914 78.239953) + (xy 149.692735 78.109432) + (xy 149.486497 78.013261) + (xy 149.266689 77.954364) + (xy 149.039999 77.934531) + (xy 148.81331 77.954364) + (xy 148.593502 78.013261) + (xy 148.387264 78.109432) + (xy 148.200859 78.239953) + (xy 148.039953 78.400859) + (xy 147.909433 78.587263) + (xy 147.882382 78.645275) + (xy 147.836625 78.69745) + (xy 147.77 78.716869) + (xy 147.703375 78.69745) + (xy 147.657618 78.645275) + (xy 147.630568 78.587266) + (xy 147.500047 78.400861) + (xy 147.500046 78.400859) + (xy 147.33914 78.239953) + (xy 147.152735 78.109432) + (xy 146.946497 78.013261) + (xy 146.726689 77.954364) + (xy 146.499999 77.934531) + (xy 146.27331 77.954364) + (xy 146.053502 78.013261) + (xy 145.847264 78.109432) + (xy 145.660859 78.239953) + (xy 145.499953 78.400859) + (xy 145.369433 78.587263) + (xy 145.342382 78.645275) + (xy 145.296625 78.69745) + (xy 145.23 78.716869) + (xy 145.163375 78.69745) + (xy 145.117618 78.645275) + (xy 145.090568 78.587266) + (xy 144.960047 78.400861) + (xy 144.960046 78.400859) + (xy 144.79914 78.239953) + (xy 144.612735 78.109432) + (xy 144.406497 78.013261) + (xy 144.186689 77.954364) + (xy 143.959999 77.934531) + (xy 143.73331 77.954364) + (xy 143.513502 78.013261) + (xy 143.307264 78.109432) + (xy 143.120859 78.239953) + (xy 142.959953 78.400859) + (xy 142.829432 78.587264) + (xy 142.733261 78.793502) + (xy 142.674364 79.01331) + (xy 142.654531 79.24) + (xy 142.674364 79.466689) + (xy 142.733261 79.686497) + (xy 142.829432 79.892735) + (xy 142.959953 80.07914) + (xy 143.120859 80.240046) + (xy 143.307264 80.370567) + (xy 143.307265 80.370567) + (xy 143.307266 80.370568) + (xy 143.513504 80.466739) + (xy 143.733308 80.525635) + (xy 143.884435 80.538856) + (xy 143.959999 80.545468) + (xy 143.959999 80.545467) + (xy 143.96 80.545468) + (xy 144.186692 80.525635) + (xy 144.406496 80.466739) + (xy 144.612734 80.370568) + (xy 144.799139 80.240047) + (xy 144.960047 80.079139) + (xy 145.090568 79.892734) + (xy 145.117618 79.834724) + (xy 145.163375 79.782549) + (xy 145.23 79.763129) + (xy 145.296625 79.782549) + (xy 145.342382 79.834725) + (xy 145.369431 79.892733) + (xy 145.499953 80.07914) + (xy 145.660859 80.240046) + (xy 145.847264 80.370567) + (xy 145.847265 80.370567) + (xy 145.847266 80.370568) + (xy 146.053504 80.466739) + (xy 146.273308 80.525635) + (xy 146.424435 80.538856) + (xy 146.499999 80.545468) + (xy 146.499999 80.545467) + (xy 146.5 80.545468) + (xy 146.726692 80.525635) + (xy 146.946496 80.466739) + (xy 147.152734 80.370568) + (xy 147.339139 80.240047) + (xy 147.500047 80.079139) + (xy 147.630568 79.892734) + (xy 147.657618 79.834724) + (xy 147.703375 79.782549) + (xy 147.77 79.763129) + (xy 147.836625 79.782549) + (xy 147.882382 79.834725) + (xy 147.909431 79.892733) + (xy 148.039953 80.07914) + (xy 148.200859 80.240046) + (xy 148.387264 80.370567) + (xy 148.387265 80.370567) + (xy 148.387266 80.370568) + (xy 148.593504 80.466739) + (xy 148.813308 80.525635) + (xy 148.964435 80.538856) + (xy 149.039999 80.545468) + (xy 149.039999 80.545467) + (xy 149.04 80.545468) + (xy 149.266692 80.525635) + (xy 149.486496 80.466739) + (xy 149.692734 80.370568) + (xy 149.879139 80.240047) + (xy 150.040047 80.079139) + (xy 150.170568 79.892734) + (xy 150.197618 79.834724) + (xy 150.243375 79.782549) + (xy 150.31 79.763129) + (xy 150.376625 79.782549) + (xy 150.422382 79.834725) + (xy 150.449431 79.892733) + (xy 150.579953 80.07914) + (xy 150.740859 80.240046) + (xy 150.927264 80.370567) + (xy 150.927265 80.370567) + (xy 150.927266 80.370568) + (xy 151.133504 80.466739) + (xy 151.353308 80.525635) + (xy 151.504435 80.538856) + (xy 151.579999 80.545468) + (xy 151.579999 80.545467) + (xy 151.58 80.545468) + (xy 151.806692 80.525635) + (xy 151.875048 80.507319) + (xy 151.939234 80.507319) + (xy 151.994822 80.539413) + (xy 152.338181 80.882772) + (xy 152.365061 80.923) + (xy 152.3745 80.970453) + (xy 152.3745 105.100937) + (xy 152.365471 105.147388) + (xy 152.339699 105.187074) + (xy 152.300937 105.214216) + (xy 152.297269 105.215848) + (xy 152.144129 105.32711) + (xy 152.017466 105.467783) + (xy 151.92282 105.631715) + (xy 151.864326 105.811742) + (xy 151.84454 106) + (xy 151.864326 106.188257) + (xy 151.92282 106.368284) + (xy 152.017464 106.532213) + (xy 152.017467 106.532216) + (xy 152.107479 106.632184) + (xy 152.136618 106.689373) + (xy 152.133259 106.753472) + (xy 152.114326 106.81174) + (xy 152.09454 106.999999) + (xy 152.114326 107.188257) + (xy 152.17282 107.368284) + (xy 152.267464 107.532213) + (xy 152.267467 107.532216) + (xy 152.342652 107.615717) + (xy 152.366264 107.654249) + (xy 152.3745 107.698687) + (xy 152.3745 125.051313) + (xy 152.366264 125.095751) + (xy 152.342652 125.134282) + (xy 152.309545 125.171051) + (xy 152.267464 125.217786) + (xy 152.17282 125.381715) + (xy 152.114326 125.561742) + (xy 152.09454 125.75) + (xy 152.114326 125.938257) + (xy 152.17282 126.118284) + (xy 152.267466 126.282216) + (xy 152.291371 126.308765) + (xy 152.32146 126.370914) + (xy 152.313645 126.43952) + (xy 152.270349 126.493309) + (xy 152.26086 126.499953) + (xy 152.099953 126.66086) + (xy 151.969433 126.847263) + (xy 151.942382 126.905275) + (xy 151.896625 126.95745) + (xy 151.83 126.976869) + (xy 151.763375 126.95745) + (xy 151.717618 126.905275) + (xy 151.690568 126.847266) + (xy 151.560047 126.660861) + (xy 151.560046 126.660859) + (xy 151.39914 126.499953) + (xy 151.212735 126.369432) + (xy 151.006497 126.273261) + (xy 150.786689 126.214364) + (xy 150.559999 126.194531) + (xy 150.33331 126.214364) + (xy 150.113502 126.273261) + (xy 149.907264 126.369432) + (xy 149.720859 126.499953) + (xy 149.559953 126.660859) + (xy 149.429433 126.847263) + (xy 149.402382 126.905275) + (xy 149.356625 126.95745) + (xy 149.29 126.976869) + (xy 149.223375 126.95745) + (xy 149.177618 126.905275) + (xy 149.150568 126.847266) + (xy 149.020047 126.660861) + (xy 149.020046 126.660859) + (xy 148.85914 126.499953) + (xy 148.698377 126.387387) + (xy 148.659511 126.343069) + (xy 148.6455 126.285812) + (xy 148.6455 125.469789) + (xy 148.659611 125.41234) + (xy 148.698731 125.367967) + (xy 148.753958 125.346767) + (xy 148.812723 125.353566) + (xy 148.86165 125.386817) + (xy 148.894129 125.422889) + (xy 149.047269 125.534151) + (xy 149.220197 125.611144) + (xy 149.405352 125.6505) + (xy 149.405354 125.6505) + (xy 149.594646 125.6505) + (xy 149.594648 125.6505) + (xy 149.718083 125.624262) + (xy 149.779803 125.611144) + (xy 149.95273 125.534151) + (xy 150.105871 125.422888) + (xy 150.232533 125.282216) + (xy 150.327179 125.118284) + (xy 150.361816 125.01168) + (xy 150.387597 124.967028) + (xy 150.429312 124.93672) + (xy 150.479747 124.926) + (xy 150.594648 124.926) + (xy 150.718084 124.899762) + (xy 150.779803 124.886644) + (xy 150.95273 124.809651) + (xy 151.105871 124.698388) + (xy 151.232533 124.557716) + (xy 151.327179 124.393784) + (xy 151.385674 124.213756) + (xy 151.40546 124.0255) + (xy 151.385674 123.837244) + (xy 151.327179 123.657216) + (xy 151.327179 123.657215) + (xy 151.232535 123.493286) + (xy 151.219427 123.478729) + (xy 151.157347 123.409782) + (xy 151.133736 123.371251) + (xy 151.1255 123.326813) + (xy 151.1255 104.048186) + (xy 151.133736 104.003748) + (xy 151.157347 103.965216) + (xy 151.232533 103.881715) + (xy 151.240288 103.868284) + (xy 151.327179 103.717783) + (xy 151.340755 103.676) + (xy 151.385674 103.537755) + (xy 151.40546 103.349499) + (xy 151.385674 103.161243) + (xy 151.327179 102.981215) + (xy 151.327179 102.981214) + (xy 151.232533 102.817282) + (xy 151.10587 102.676609) + (xy 150.95273 102.565347) + (xy 150.779802 102.488354) + (xy 150.594648 102.448999) + (xy 150.594646 102.448999) + (xy 150.405354 102.448999) + (xy 150.392322 102.448999) + (xy 150.392322 102.44859) + (xy 150.355712 102.448588) + (xy 150.30861 102.427615) + (xy 150.274111 102.389299) + (xy 150.232533 102.317283) + (xy 150.10587 102.17661) + (xy 149.95273 102.065348) + (xy 149.779802 101.988355) + (xy 149.594648 101.949) + (xy 149.594646 101.949) + (xy 149.405354 101.949) + (xy 149.405352 101.949) + (xy 149.220197 101.988355) + (xy 149.047269 102.065348) + (xy 148.894129 102.17661) + (xy 148.86165 102.212683) + (xy 148.812723 102.245934) + (xy 148.753958 102.252733) + (xy 148.698731 102.231533) + (xy 148.659611 102.18716) + (xy 148.6455 102.129711) + (xy 148.6455 100.34274) + (xy 148.647763 100.322236) + (xy 148.645561 100.252144) + (xy 148.6455 100.24825) + (xy 148.6455 100.220657) + (xy 148.6455 100.22065) + (xy 148.644995 100.216653) + (xy 148.64408 100.205023) + (xy 148.642709 100.161373) + (xy 148.63712 100.14214) + (xy 148.633174 100.123082) + (xy 148.630664 100.103208) + (xy 148.614588 100.062606) + (xy 148.610804 100.051552) + (xy 148.598619 100.009613) + (xy 148.598618 100.009612) + (xy 148.598618 100.00961) + (xy 148.588417 99.992361) + (xy 148.57986 99.974895) + (xy 148.572486 99.956268) + (xy 148.546813 99.920932) + (xy 148.540402 99.911172) + (xy 148.518169 99.873578) + (xy 148.504006 99.859415) + (xy 148.491369 99.84462) + (xy 148.479595 99.828414) + (xy 148.479594 99.828413) + (xy 148.445935 99.800568) + (xy 148.437305 99.792714) + (xy 148.090237 99.445646) + (xy 148.058143 99.390058) + (xy 148.058143 99.325872) + (xy 148.085063 99.225408) + (xy 148.105659 98.99) + (xy 148.085063 98.754592) + (xy 148.023903 98.526337) + (xy 147.924035 98.312171) + (xy 147.788495 98.118599) + (xy 147.666569 97.996673) + (xy 147.635273 97.943927) + (xy 147.633084 97.882634) + (xy 147.660537 97.827789) + (xy 147.710916 97.79281) + (xy 147.842331 97.743796) + (xy 147.957546 97.657546) + (xy 148.043796 97.542331) + (xy 148.094091 97.407483) + (xy 148.1005 97.347873) + (xy 148.100499 95.552128) + (xy 148.094091 95.492517) + (xy 148.043796 95.357669) + (xy 147.957546 95.242454) + (xy 147.842331 95.156204) + (xy 147.707483 95.105909) + (xy 147.647873 95.0995) + (xy 147.647869 95.0995) + (xy 145.85213 95.0995) + (xy 145.792515 95.105909) + (xy 145.722834 95.131899) + (xy 145.664047 95.13875) + (xy 145.608781 95.117573) + (xy 145.569625 95.073192) + (xy 145.555501 95.015717) + (xy 145.555501 84.720632) + (xy 145.55591 84.710567) + (xy 145.560341 84.656154) + (xy 145.557984 84.638855) + (xy 145.549303 84.575146) + (xy 145.5489 84.571849) + (xy 145.540074 84.490685) + (xy 145.540013 84.490504) + (xy 145.534657 84.467651) + (xy 145.534632 84.467464) + (xy 145.506463 84.390791) + (xy 145.505347 84.387622) + (xy 145.479268 84.310221) + (xy 145.479174 84.310065) + (xy 145.469027 84.288891) + (xy 145.468962 84.288713) + (xy 145.42496 84.219872) + (xy 145.423221 84.217069) + (xy 145.38109 84.147047) + (xy 145.380957 84.146907) + (xy 145.366504 84.128418) + (xy 145.366401 84.128257) + (xy 145.308659 84.070515) + (xy 145.306315 84.068107) + (xy 145.275751 84.03584) + (xy 145.258389 84.012567) + (xy 145.232533 83.967783) + (xy 145.10587 83.82711) + (xy 144.95273 83.715848) + (xy 144.779802 83.638855) + (xy 144.594648 83.5995) + (xy 144.594646 83.5995) + (xy 144.405354 83.5995) + (xy 144.405352 83.5995) + (xy 144.220197 83.638855) + (xy 144.047269 83.715848) + (xy 143.894129 83.82711) + (xy 143.767466 83.967783) + (xy 143.67282 84.131715) + (xy 143.614326 84.311742) + (xy 143.59454 84.499999) + (xy 143.614326 84.688257) + (xy 143.67282 84.868284) + (xy 143.767466 85.032216) + (xy 143.772651 85.037974) + (xy 143.796265 85.076508) + (xy 143.804501 85.120946) + (xy 143.804501 96.556462) + (xy 143.804456 96.55982) + (xy 143.802244 96.64147) + (xy 143.802279 96.641652) + (xy 143.804501 96.665023) + (xy 143.804501 113.010793) + (xy 143.798432 113.049111) + (xy 143.794327 113.061742) + (xy 143.794326 113.061744) + (xy 143.794327 113.061744) + (xy 143.774541 113.25) + (xy 143.784434 113.344128) + (xy 143.794327 113.438257) + (xy 143.798432 113.450889) + (xy 143.804501 113.489207) + (xy 143.804501 126.0755) + (xy 143.787888 126.1375) + (xy 143.742501 126.182887) + (xy 143.680501 126.1995) + (xy 142.09213 126.1995) + (xy 142.032515 126.205909) + (xy 141.897669 126.256204) + (xy 141.782454 126.342454) + (xy 141.696204 126.457668) + (xy 141.645909 126.592516) + (xy 141.6395 126.65213) + (xy 141.6395 128.347869) + (xy 115.040538 128.347869) + (xy 115.009938 128.273994) + (xy 115.0005 128.226542) + (xy 115.0005 113.059999) + (xy 124.26934 113.059999) + (xy 124.289936 113.295407) + (xy 124.334709 113.462501) + (xy 124.351097 113.523663) + (xy 124.450965 113.73783) + (xy 124.586505 113.931401) + (xy 124.586508 113.931404) + (xy 124.70843 114.053326) + (xy 124.739726 114.106072) + (xy 124.741915 114.167365) + (xy 124.714462 114.222209) + (xy 124.664083 114.257189) + (xy 124.532669 114.306204) + (xy 124.417454 114.392454) + (xy 124.331204 114.507668) + (xy 124.280909 114.642515) + (xy 124.280909 114.642517) + (xy 124.275992 114.688256) + (xy 124.2745 114.70213) + (xy 124.2745 116.497869) + (xy 124.280909 116.557484) + (xy 124.28823 116.577112) + (xy 124.331204 116.692331) + (xy 124.417454 116.807546) + (xy 124.532669 116.893796) + (xy 124.667517 116.944091) + (xy 124.727127 116.9505) + (xy 126.522872 116.950499) + (xy 126.582483 116.944091) + (xy 126.717331 116.893796) + (xy 126.832546 116.807546) + (xy 126.918796 116.692331) + (xy 126.969091 116.557483) + (xy 126.9755 116.497873) + (xy 126.975499 114.702128) + (xy 126.969091 114.642517) + (xy 126.918796 114.507669) + (xy 126.832546 114.392454) + (xy 126.717331 114.306204) + (xy 126.655898 114.283291) + (xy 126.585916 114.257189) + (xy 126.535537 114.222209) + (xy 126.508084 114.167365) + (xy 126.510273 114.106072) + (xy 126.541566 114.053329) + (xy 126.663495 113.931401) + (xy 126.799035 113.73783) + (xy 126.898903 113.523663) + (xy 126.960063 113.295408) + (xy 126.980659 113.06) + (xy 126.960063 112.824592) + (xy 126.898903 112.596337) + (xy 126.799035 112.382171) + (xy 126.663495 112.188599) + (xy 126.496401 112.021505) + (xy 126.310839 111.891573) + (xy 126.271975 111.847257) + (xy 126.257964 111.79) + (xy 126.271975 111.732743) + (xy 126.310839 111.688426) + (xy 126.496401 111.558495) + (xy 126.663495 111.391401) + (xy 126.799035 111.19783) + (xy 126.898903 110.983663) + (xy 126.960063 110.755408) + (xy 126.980659 110.52) + (xy 126.960063 110.284592) + (xy 126.898903 110.056337) + (xy 126.799035 109.842171) + (xy 126.663495 109.648599) + (xy 126.496401 109.481505) + (xy 126.310839 109.351573) + (xy 126.271974 109.307255) + (xy 126.257964 109.249999) + (xy 126.271975 109.192742) + (xy 126.310837 109.148428) + (xy 126.496401 109.018495) + (xy 126.663495 108.851401) + (xy 126.799035 108.65783) + (xy 126.898903 108.443663) + (xy 126.960063 108.215408) + (xy 126.980659 107.98) + (xy 126.960063 107.744592) + (xy 126.898903 107.516337) + (xy 126.799035 107.302171) + (xy 126.663495 107.108599) + (xy 126.496401 106.941505) + (xy 126.310839 106.811573) + (xy 126.271975 106.767257) + (xy 126.257964 106.71) + (xy 126.271975 106.652743) + (xy 126.310839 106.608426) + (xy 126.496401 106.478495) + (xy 126.663495 106.311401) + (xy 126.799035 106.11783) + (xy 126.898903 105.903663) + (xy 126.960063 105.675408) + (xy 126.980659 105.44) + (xy 126.960063 105.204592) + (xy 126.898903 104.976337) + (xy 126.799035 104.762171) + (xy 126.663495 104.568599) + (xy 126.496401 104.401505) + (xy 126.310839 104.271573) + (xy 126.271975 104.227257) + (xy 126.257964 104.17) + (xy 126.271975 104.112743) + (xy 126.310839 104.068426) + (xy 126.496401 103.938495) + (xy 126.663495 103.771401) + (xy 126.799035 103.57783) + (xy 126.898903 103.363663) + (xy 126.960063 103.135408) + (xy 126.980659 102.9) + (xy 126.960063 102.664592) + (xy 126.898903 102.436337) + (xy 126.799035 102.222171) + (xy 126.663495 102.028599) + (xy 126.496401 101.861505) + (xy 126.30283 101.725965) + (xy 126.088663 101.626097) + (xy 126.027501 101.609709) + (xy 125.860407 101.564936) + (xy 125.624999 101.54434) + (xy 125.389592 101.564936) + (xy 125.161336 101.626097) + (xy 124.94717 101.725965) + (xy 124.753598 101.861505) + (xy 124.586505 102.028598) + (xy 124.450965 102.22217) + (xy 124.351097 102.436336) + (xy 124.289936 102.664592) + (xy 124.26934 102.899999) + (xy 124.289936 103.135407) + (xy 124.328205 103.278228) + (xy 124.351097 103.363663) + (xy 124.450965 103.57783) + (xy 124.586505 103.771401) + (xy 124.753599 103.938495) + (xy 124.93916 104.068426) + (xy 124.978024 104.112743) + (xy 124.992035 104.17) + (xy 124.978024 104.227257) + (xy 124.939158 104.271575) + (xy 124.755035 104.4005) + (xy 124.753595 104.401508) + (xy 124.586505 104.568598) + (xy 124.450965 104.76217) + (xy 124.351097 104.976336) + (xy 124.289936 105.204592) + (xy 124.26934 105.44) + (xy 124.289936 105.675407) + (xy 124.301291 105.717783) + (xy 124.351097 105.903663) + (xy 124.450965 106.11783) + (xy 124.586505 106.311401) + (xy 124.753599 106.478495) + (xy 124.93916 106.608426) + (xy 124.978024 106.652743) + (xy 124.992035 106.71) + (xy 124.978024 106.767257) + (xy 124.939159 106.811575) + (xy 124.753595 106.941508) + (xy 124.586505 107.108598) + (xy 124.450965 107.30217) + (xy 124.351097 107.516336) + (xy 124.289936 107.744592) + (xy 124.26934 107.979999) + (xy 124.289936 108.215407) + (xy 124.325866 108.3495) + (xy 124.351097 108.443663) + (xy 124.450965 108.65783) + (xy 124.586505 108.851401) + (xy 124.753599 109.018495) + (xy 124.93916 109.148426) + (xy 124.978024 109.192743) + (xy 124.992035 109.25) + (xy 124.978024 109.307257) + (xy 124.939159 109.351575) + (xy 124.753595 109.481508) + (xy 124.586505 109.648598) + (xy 124.450965 109.84217) + (xy 124.351097 110.056336) + (xy 124.289936 110.284592) + (xy 124.26934 110.52) + (xy 124.289936 110.755407) + (xy 124.334709 110.922502) + (xy 124.351097 110.983663) + (xy 124.450965 111.19783) + (xy 124.586505 111.391401) + (xy 124.753599 111.558495) + (xy 124.93916 111.688426) + (xy 124.978024 111.732743) + (xy 124.992035 111.79) + (xy 124.978024 111.847257) + (xy 124.939159 111.891575) + (xy 124.753595 112.021508) + (xy 124.586505 112.188598) + (xy 124.450965 112.38217) + (xy 124.351097 112.596336) + (xy 124.289936 112.824592) + (xy 124.26934 113.059999) + (xy 115.0005 113.059999) + (xy 115.0005 85.831616) + (xy 120.81954 85.831616) + (xy 120.839326 86.019874) + (xy 120.89782 86.199901) + (xy 120.992466 86.363833) + (xy 121.119129 86.504506) + (xy 121.272269 86.615768) + (xy 121.445197 86.692761) + (xy 121.630352 86.732117) + (xy 121.630354 86.732117) + (xy 121.819646 86.732117) + (xy 121.819648 86.732117) + (xy 121.943083 86.705879) + (xy 122.004803 86.692761) + (xy 122.17773 86.615768) + (xy 122.17773 86.615767) + (xy 122.189636 86.610467) + (xy 122.1897 86.610611) + (xy 122.22421 86.595243) + (xy 122.275777 86.595241) + (xy 122.310299 86.610611) + (xy 122.310364 86.610467) + (xy 122.495197 86.692761) + (xy 122.680352 86.732117) + (xy 122.680354 86.732117) + (xy 122.869646 86.732117) + (xy 122.869648 86.732117) + (xy 122.993083 86.705879) + (xy 123.054803 86.692761) + (xy 123.22773 86.615768) + (xy 123.255983 86.595241) + (xy 123.38087 86.504506) + (xy 123.507533 86.363833) + (xy 123.602179 86.199901) + (xy 123.656664 86.032213) + (xy 123.660674 86.019873) + (xy 123.68046 85.831617) + (xy 123.660674 85.643361) + (xy 123.602179 85.463333) + (xy 123.602179 85.463332) + (xy 123.507533 85.2994) + (xy 123.38087 85.158727) + (xy 123.282773 85.087456) + (xy 123.247468 85.047727) + (xy 123.23204 84.996866) + (xy 123.239323 84.944218) + (xy 123.267976 84.899457) + (xy 123.305615 84.861819) + (xy 123.345844 84.83494) + (xy 123.393296 84.825501) + (xy 124.812095 84.825501) + (xy 124.874095 84.842114) + (xy 124.919482 84.887501) + (xy 125.017466 85.057216) + (xy 125.144129 85.197889) + (xy 125.297269 85.309151) + (xy 125.470197 85.386144) + (xy 125.655352 85.4255) + (xy 125.655354 85.4255) + (xy 125.844646 85.4255) + (xy 125.844648 85.4255) + (xy 125.968084 85.399262) + (xy 126.029803 85.386144) + (xy 126.20273 85.309151) + (xy 126.355871 85.197888) + (xy 126.482533 85.057216) + (xy 126.577179 84.893284) + (xy 126.635674 84.713256) + (xy 126.65546 84.525) + (xy 126.635674 84.336744) + (xy 126.597242 84.218464) + (xy 126.57718 84.156718) + (xy 126.577179 84.156716) + (xy 126.522492 84.061996) + (xy 126.505881 84) + (xy 126.522492 83.938003) + (xy 126.577179 83.843284) + (xy 126.635674 83.663256) + (xy 126.636027 83.659894) + (xy 126.661964 83.596089) + (xy 126.717952 83.555967) + (xy 126.786712 83.55191) + (xy 126.847028 83.585172) + (xy 127.588181 84.326325) + (xy 127.615061 84.366553) + (xy 127.6245 84.414006) + (xy 127.6245 91.0005) + (xy 127.607887 91.0625) + (xy 127.5625 91.107887) + (xy 127.5005 91.1245) + (xy 126.703747 91.1245) + (xy 126.653312 91.11378) + (xy 126.611598 91.083473) + (xy 126.605871 91.077112) + (xy 126.45273 90.965849) + (xy 126.452729 90.965848) + (xy 126.452727 90.965847) + (xy 126.279802 90.888855) + (xy 126.094648 90.8495) + (xy 126.094646 90.8495) + (xy 125.905354 90.8495) + (xy 125.905352 90.8495) + (xy 125.720197 90.888855) + (xy 125.547269 90.965848) + (xy 125.394129 91.07711) + (xy 125.267466 91.217783) + (xy 125.17282 91.381715) + (xy 125.114326 91.561742) + (xy 125.09454 91.749999) + (xy 125.114326 91.938257) + (xy 125.17282 92.118284) + (xy 125.267466 92.282216) + (xy 125.394129 92.422889) + (xy 125.547269 92.534151) + (xy 125.720197 92.611144) + (xy 125.905352 92.6505) + (xy 125.905354 92.6505) + (xy 126.094646 92.6505) + (xy 126.094648 92.6505) + (xy 126.218084 92.624262) + (xy 126.279803 92.611144) + (xy 126.45273 92.534151) + (xy 126.605871 92.422888) + (xy 126.611598 92.416526) + (xy 126.653312 92.38622) + (xy 126.703747 92.3755) + (xy 127.5005 92.3755) + (xy 127.5625 92.392113) + (xy 127.607887 92.4375) + (xy 127.6245 92.4995) + (xy 127.6245 121.959379) + (xy 127.624091 121.969442) + (xy 127.619661 122.023849) + (xy 127.630688 122.10479) + (xy 127.631096 122.10812) + (xy 127.639927 122.189315) + (xy 127.63999 122.189502) + (xy 127.645342 122.212338) + (xy 127.645369 122.212538) + (xy 127.67354 122.28922) + (xy 127.674655 122.292386) + (xy 127.700733 122.369781) + (xy 127.700831 122.369944) + (xy 127.71097 122.391102) + (xy 127.711037 122.391285) + (xy 127.755046 122.460139) + (xy 127.756815 122.462991) + (xy 127.79891 122.532953) + (xy 127.799041 122.533091) + (xy 127.813494 122.551579) + (xy 127.8136 122.551744) + (xy 127.871395 122.609539) + (xy 127.873702 122.61191) + (xy 127.929868 122.671205) + (xy 127.929871 122.671207) + (xy 127.930024 122.671311) + (xy 127.948121 122.686265) + (xy 131.469579 126.207723) + (xy 131.489285 126.233404) + (xy 131.517466 126.282216) + (xy 131.644129 126.422889) + (xy 131.797269 126.534151) + (xy 131.970197 126.611144) + (xy 132.155352 126.6505) + (xy 132.155354 126.6505) + (xy 132.344646 126.6505) + (xy 132.344648 126.6505) + (xy 132.468083 126.624262) + (xy 132.529803 126.611144) + (xy 132.70273 126.534151) + (xy 132.7498 126.499953) + (xy 132.85587 126.422889) + (xy 132.982533 126.282216) + (xy 133.077179 126.118284) + (xy 133.105144 126.032216) + (xy 133.135674 125.938256) + (xy 133.15546 125.75) + (xy 133.135674 125.561744) + (xy 133.090557 125.422888) + (xy 133.077179 125.381715) + (xy 132.982533 125.217783) + (xy 132.85587 125.07711) + (xy 132.715243 124.97494) + (xy 132.700447 124.962303) + (xy 129.411819 121.673675) + (xy 129.384939 121.633447) + (xy 129.3755 121.585994) + (xy 129.3755 93.229999) + (xy 130.32454 93.229999) + (xy 130.344326 93.418257) + (xy 130.40282 93.598284) + (xy 130.497466 93.762216) + (xy 130.624129 93.902889) + (xy 130.777269 94.014151) + (xy 130.950197 94.091144) + (xy 131.135352 94.1305) + (xy 131.135354 94.1305) + (xy 131.324646 94.1305) + (xy 131.324648 94.1305) + (xy 131.448083 94.104262) + (xy 131.509803 94.091144) + (xy 131.68273 94.014151) + (xy 131.835871 93.902888) + (xy 131.962533 93.762216) + (xy 132.057179 93.598284) + (xy 132.115674 93.418256) + (xy 132.13546 93.23) + (xy 132.115674 93.041744) + (xy 132.057179 92.861716) + (xy 132.057179 92.861715) + (xy 131.962535 92.697786) + (xy 131.919958 92.6505) + (xy 131.907348 92.636494) + (xy 131.883736 92.597963) + (xy 131.8755 92.553525) + (xy 131.8755 86.198687) + (xy 131.883736 86.154249) + (xy 131.907347 86.115717) + (xy 131.982533 86.032216) + (xy 132.077179 85.868284) + (xy 132.135674 85.688256) + (xy 132.15546 85.5) + (xy 132.135674 85.311744) + (xy 132.085956 85.158728) + (xy 132.077179 85.131715) + (xy 131.982533 84.967783) + (xy 131.85587 84.82711) + (xy 131.70273 84.715848) + (xy 131.529802 84.638855) + (xy 131.344648 84.5995) + (xy 131.344646 84.5995) + (xy 131.155354 84.5995) + (xy 131.155352 84.5995) + (xy 130.970197 84.638855) + (xy 130.797269 84.715848) + (xy 130.644129 84.82711) + (xy 130.517466 84.967783) + (xy 130.42282 85.131715) + (xy 130.364326 85.311742) + (xy 130.34454 85.499999) + (xy 130.364326 85.688257) + (xy 130.42282 85.868284) + (xy 130.517464 86.032213) + (xy 130.517467 86.032216) + (xy 130.592652 86.115717) + (xy 130.616264 86.154249) + (xy 130.6245 86.198687) + (xy 130.6245 92.509101) + (xy 130.616264 92.553539) + (xy 130.592652 92.59207) + (xy 130.552649 92.636498) + (xy 130.497464 92.697786) + (xy 130.40282 92.861715) + (xy 130.344326 93.041742) + (xy 130.32454 93.229999) + (xy 129.3755 93.229999) + (xy 129.3755 84.040618) + (xy 129.375909 84.030553) + (xy 129.380339 83.976154) + (xy 129.380339 83.976152) + (xy 129.369298 83.895121) + (xy 129.368907 83.891922) + (xy 129.360073 83.810684) + (xy 129.360011 83.810502) + (xy 129.354656 83.78765) + (xy 129.354631 83.787463) + (xy 129.326462 83.71079) + (xy 129.325346 83.707621) + (xy 129.299267 83.63022) + (xy 129.299173 83.630064) + (xy 129.289026 83.60889) + (xy 129.288961 83.608712) + (xy 129.244959 83.539871) + (xy 129.24322 83.537068) + (xy 129.201089 83.467046) + (xy 129.200956 83.466906) + (xy 129.186503 83.448417) + (xy 129.1864 83.448256) + (xy 129.128658 83.390514) + (xy 129.126316 83.388108) + (xy 129.07013 83.328793) + (xy 129.06997 83.328685) + (xy 129.051877 83.313733) + (xy 127.280418 81.542274) + (xy 127.260711 81.516591) + (xy 127.232533 81.467783) + (xy 127.10587 81.32711) + (xy 126.95273 81.215848) + (xy 126.779802 81.138855) + (xy 126.594648 81.0995) + (xy 126.594646 81.0995) + (xy 126.405354 81.0995) + (xy 126.405352 81.0995) + (xy 126.220197 81.138855) + (xy 126.047269 81.215848) + (xy 125.894129 81.32711) + (xy 125.767466 81.467783) + (xy 125.67282 81.631715) + (xy 125.614326 81.811742) + (xy 125.59454 81.999999) + (xy 125.614326 82.188257) + (xy 125.67282 82.368284) + (xy 125.69162 82.400846) + (xy 125.708063 82.456357) + (xy 125.697513 82.513281) + (xy 125.662269 82.559212) + (xy 125.610014 82.584136) + (xy 125.470197 82.613855) + (xy 125.297269 82.690848) + (xy 125.144129 82.80211) + (xy 125.017466 82.942783) + (xy 124.919482 83.112499) + (xy 124.874095 83.157886) + (xy 124.812095 83.174499) + (xy 122.954288 83.174499) + (xy 122.938103 83.173438) + (xy 122.933577 83.172842) + (xy 122.906799 83.169316) + (xy 122.736232 83.191771) + (xy 122.723325 83.20102) + (xy 122.603959 83.250463) + (xy 122.478517 83.346717) + (xy 122.459295 83.371769) + (xy 122.448601 83.383963) + (xy 121.633964 84.198599) + (xy 121.621773 84.209291) + (xy 121.596719 84.228516) + (xy 121.596716 84.228519) + (xy 121.596717 84.228519) + (xy 121.527547 84.318663) + (xy 121.500462 84.35396) + (xy 121.439955 84.500038) + (xy 121.419316 84.6568) + (xy 121.423438 84.688102) + (xy 121.424499 84.704288) + (xy 121.424499 84.899162) + (xy 121.41547 84.945613) + (xy 121.389698 84.985299) + (xy 121.350936 85.01244) + (xy 121.306522 85.032216) + (xy 121.272268 85.047467) + (xy 121.119129 85.158728) + (xy 120.992466 85.2994) + (xy 120.89782 85.463332) + (xy 120.839326 85.643359) + (xy 120.81954 85.831616) + (xy 115.0005 85.831616) + (xy 115.0005 79.239999) + (xy 137.574531 79.239999) + (xy 137.594364 79.466689) + (xy 137.653261 79.686497) + (xy 137.749432 79.892735) + (xy 137.879953 80.07914) + (xy 138.040859 80.240046) + (xy 138.201623 80.352613) + (xy 138.240489 80.396931) + (xy 138.2545 80.454188) + (xy 138.2545 101.933742) + (xy 138.240985 101.990037) + (xy 138.203386 102.034058) + (xy 138.154142 102.069836) + (xy 138.144126 102.077114) + (xy 138.017466 102.217783) + (xy 137.92282 102.381715) + (xy 137.864326 102.561742) + (xy 137.84454 102.75) + (xy 137.864326 102.938257) + (xy 137.92282 103.118284) + (xy 138.017466 103.282216) + (xy 138.144129 103.422889) + (xy 138.297269 103.534151) + (xy 138.470197 103.611144) + (xy 138.655352 103.6505) + (xy 138.655354 103.6505) + (xy 138.844646 103.6505) + (xy 138.844648 103.6505) + (xy 138.968084 103.624262) + (xy 139.029803 103.611144) + (xy 139.20273 103.534151) + (xy 139.249735 103.5) + (xy 139.35587 103.422889) + (xy 139.392943 103.381716) + (xy 139.482533 103.282216) + (xy 139.577179 103.118284) + (xy 139.635674 102.938256) + (xy 139.65546 102.75) + (xy 139.635674 102.561744) + (xy 139.577179 102.381716) + (xy 139.577179 102.381715) + (xy 139.522113 102.286338) + (xy 139.5055 102.224338) + (xy 139.5055 90.065532) + (xy 139.523773 90.000742) + (xy 139.573205 89.955047) + (xy 139.639229 89.941914) + (xy 139.702385 89.965214) + (xy 139.797269 90.034151) + (xy 139.970197 90.111144) + (xy 140.155352 90.1505) + (xy 140.155354 90.1505) + (xy 140.344646 90.1505) + (xy 140.344648 90.1505) + (xy 140.468083 90.124262) + (xy 140.529803 90.111144) + (xy 140.70273 90.034151) + (xy 140.855871 89.922888) + (xy 140.982533 89.782216) + (xy 141.077179 89.618284) + (xy 141.135674 89.438256) + (xy 141.15546 89.25) + (xy 141.135674 89.061744) + (xy 141.077179 88.881716) + (xy 141.077179 88.881715) + (xy 140.982535 88.717786) + (xy 140.969427 88.703229) + (xy 140.907347 88.634282) + (xy 140.883736 88.595751) + (xy 140.8755 88.551313) + (xy 140.8755 88.474187) + (xy 140.883736 88.429749) + (xy 140.907347 88.391217) + (xy 140.982533 88.307716) + (xy 141.077179 88.143784) + (xy 141.135674 87.963756) + (xy 141.15546 87.7755) + (xy 141.135674 87.587244) + (xy 141.077179 87.407216) + (xy 141.077179 87.407215) + (xy 140.982533 87.243283) + (xy 140.85587 87.10261) + (xy 140.70273 86.991348) + (xy 140.529802 86.914355) + (xy 140.344648 86.875) + (xy 140.344646 86.875) + (xy 140.155354 86.875) + (xy 140.155352 86.875) + (xy 139.970197 86.914355) + (xy 139.797269 86.991348) + (xy 139.702385 87.060286) + (xy 139.639229 87.083586) + (xy 139.573205 87.070453) + (xy 139.523773 87.024758) + (xy 139.5055 86.959968) + (xy 139.5055 80.454188) + (xy 139.519511 80.396931) + (xy 139.558377 80.352613) + (xy 139.632847 80.300468) + (xy 139.719139 80.240047) + (xy 139.880047 80.079139) + (xy 140.010568 79.892734) + (xy 140.106739 79.686496) + (xy 140.165635 79.466692) + (xy 140.185468 79.24) + (xy 140.165635 79.013308) + (xy 140.106739 78.793504) + (xy 140.010568 78.587266) + (xy 139.880047 78.400861) + (xy 139.880046 78.400859) + (xy 139.71914 78.239953) + (xy 139.532735 78.109432) + (xy 139.326497 78.013261) + (xy 139.106689 77.954364) + (xy 138.879999 77.934531) + (xy 138.65331 77.954364) + (xy 138.433502 78.013261) + (xy 138.227264 78.109432) + (xy 138.040859 78.239953) + (xy 137.879953 78.400859) + (xy 137.749432 78.587264) + (xy 137.653261 78.793502) + (xy 137.594364 79.01331) + (xy 137.574531 79.239999) + (xy 115.0005 79.239999) + (xy 115.0005 78.523458) + (xy 115.009939 78.476006) + (xy 115.107715 78.239953) + (xy 115.166839 78.097214) + (xy 115.193713 78.056994) + (xy 116.306994 76.943712) + (xy 116.347211 76.91684) + (xy 116.648052 76.792227) + (xy 116.726006 76.759939) + (xy 116.773458 76.7505) + (xy 179.226542 76.7505) + ) + ) + ) + (group "" (id c948e767-3c45-48b3-8a7f-bfed8ae1f360) + (members + 4e6d1b22-64aa-4e7e-9a3d-fdd2928daf37 + 7161ccf0-22a1-4728-9a94-2ecb87336fc6 + ) + ) +) diff --git a/alee-devboard.kicad_pro b/alee-devboard.kicad_pro new file mode 100755 index 0000000..778c3ef --- /dev/null +++ b/alee-devboard.kicad_pro @@ -0,0 +1,523 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "board_outline_line_width": 0.09999999999999999, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.15, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "min_clearance": 0.5 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [ + "lib_footprint_mismatch|146115000|104148750|5f608d07-8bc4-40aa-b67b-b431abb13a93|00000000-0000-0000-0000-000000000000", + "lib_footprint_mismatch|146115000|104211250|5f608d07-8bc4-40aa-b67b-b431abb13a93|00000000-0000-0000-0000-000000000000", + "malformed_courtyard|158499998|103375000|b9ebd192-f79f-4e47-b251-b706251ef144|00000000-0000-0000-0000-000000000000", + "malformed_courtyard|159000000|103874999|b9ebd192-f79f-4e47-b251-b706251ef144|00000000-0000-0000-0000-000000000000" + ], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "warning", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint": "error", + "footprint_type_mismatch": "ignore", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "warning", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "warning", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "error", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rules": { + "max_error": 0.005, + "min_clearance": 0.0, + "min_connection": 0.0, + "min_copper_edge_clearance": 0.0, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.7999999999999999, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.0, + "min_via_annular_width": 0.09999999999999999, + "min_via_diameter": 0.5, + "solder_mask_clearance": 0.0, + "solder_mask_min_width": 0.0, + "solder_mask_to_copper_clearance": 0.005, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 5, + "td_on_pad_in_zone": false, + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [ + 0.0, + 0.25, + 0.75 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": false + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "error", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "alee-devboard.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.25, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6 + }, + { + "bus_width": 12, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Power", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.75, + "via_diameter": 0.8, + "via_drill": 0.4, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [ + { + "netclass": "Power", + "pattern": "VCC" + }, + { + "netclass": "Power", + "pattern": "VBUS" + } + ] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "page_layout_descr_file": "", + "plot_directory": "", + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "00cd4058-b687-49ab-a66e-085ff1349632", + "" + ] + ], + "text_variables": {} +} diff --git a/alee-devboard.kicad_sch b/alee-devboard.kicad_sch new file mode 100755 index 0000000..1bc3139 --- /dev/null +++ b/alee-devboard.kicad_sch @@ -0,0 +1,5006 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid 00cd4058-b687-49ab-a66e-085ff1349632) + + (paper "A4") + + (title_block + (title "FRAM Forth Development Board") + (date "2023-10-16") + (company "bitgloo") + (comment 1 "Released under the CERN Open Hardware License Version 2 - Strongly Reciprocal") + ) + + (lib_symbols + (symbol "Connector:Conn_01x04_Pin" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x04_Pin" (at 0 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x04, script generated" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x04_Pin_1_1" + (polyline + (pts + (xy 1.27 -5.08) + (xy 0.8636 -5.08) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -2.54) + (xy 0.8636 -2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy 0.8636 0) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 2.54) + (xy 0.8636 2.54) + ) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 0.8636 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type outline)) + ) + (rectangle (start 0.8636 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type outline)) + ) + (rectangle (start 0.8636 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type outline)) + ) + (rectangle (start 0.8636 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type outline)) + ) + (pin passive line (at 5.08 2.54 180) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -2.54 180) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 -5.08 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "TP" (at 0 6.858 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TestPoint" (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "test point tp" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "test point" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TestPoint_0_1" + (circle (center 0 3.302) (radius 0.762) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "TestPoint_1_1" + (pin passive line (at 0 0 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector:USB_B_Micro" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (at -5.08 11.43 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "USB_B_Micro" (at -5.08 8.89 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 3.81 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 3.81 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector USB micro" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "USB Micro Type B connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "USB*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "USB_B_Micro_0_1" + (rectangle (start -5.08 -7.62) (end 5.08 7.62) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (circle (center -3.81 2.159) (radius 0.635) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (circle (center -0.635 3.429) (radius 0.381) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (rectangle (start -0.127 -7.62) (end 0.127 -6.858) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.905 2.159) + (xy 0.635 2.159) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.175 2.159) + (xy -2.54 2.159) + (xy -1.27 3.429) + (xy -0.635 3.429) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 2.159) + (xy -1.905 2.159) + (xy -1.27 0.889) + (xy 0 0.889) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.635 2.794) + (xy 0.635 1.524) + (xy 1.905 2.159) + (xy 0.635 2.794) + ) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (polyline + (pts + (xy -4.318 5.588) + (xy -1.778 5.588) + (xy -2.032 4.826) + (xy -4.064 4.826) + (xy -4.318 5.588) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + (polyline + (pts + (xy -4.699 5.842) + (xy -4.699 5.588) + (xy -4.445 4.826) + (xy -4.445 4.572) + (xy -1.651 4.572) + (xy -1.651 4.826) + (xy -1.397 5.588) + (xy -1.397 5.842) + (xy -4.699 5.842) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0.254 1.27) (end -0.508 0.508) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (rectangle (start 5.08 -5.207) (end 4.318 -4.953) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 5.08 -2.667) (end 4.318 -2.413) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 5.08 -0.127) (end 4.318 0.127) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 5.08 4.953) (end 4.318 5.207) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "USB_B_Micro_1_1" + (pin power_out line (at 7.62 5.08 180) (length 2.54) + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 7.62 -2.54 180) (length 2.54) + (name "D-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 7.62 0 180) (length 2.54) + (name "D+" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 2.54) + (name "ID" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -10.16 90) (length 2.54) + (name "Shield" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x06" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x06" (at 0 -10.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x06_1_1" + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 1.27 -8.89) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.635 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C" (at 0.635 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0.9652 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "cap capacitor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) + (xy 2.032 -0.762) + ) + (stroke (width 0.508) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.762) + (xy 2.032 0.762) + ) + (stroke (width 0.508) (type default)) + (fill (type none)) + ) + ) + (symbol "C_1_1" + (pin passive line (at 0 3.81 270) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:Crystal" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "Y" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Crystal" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "quartz ceramic resonator oscillator" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Two pin crystal" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Crystal*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Crystal_0_1" + (rectangle (start -1.143 2.54) (end 1.143 -2.54) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 0) + (xy -1.905 0) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.905 -1.27) + (xy -1.905 1.27) + ) + (stroke (width 0.508) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.905 -1.27) + (xy 1.905 1.27) + ) + (stroke (width 0.508) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 0) + (xy 1.905 0) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "Crystal_1_1" + (pin passive line (at -3.81 0 0) (length 1.27) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 1.27) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:L" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "L" (at -1.27 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "L" (at 1.905 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "inductor choke coil reactor magnetic" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Inductor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "L_0_1" + (arc (start 0 -2.54) (mid 0.6323 -1.905) (end 0 -1.27) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 0 -1.27) (mid 0.6323 -0.635) (end 0 0) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 0 0) (mid 0.6323 0.635) (end 0 1.27) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 0 1.27) (mid 0.6323 1.905) (end 0 2.54) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "L_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:LED_RGBA" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (at 0 9.398 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LED_RGBA" (at 0 -8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "LED RGB diode" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "RGB LED, red/green/blue/anode" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "LED* LED_SMD:* LED_THT:*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LED_RGBA_0_0" + (text "B" (at -1.905 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (text "G" (at -1.905 -1.27 0) + (effects (font (size 1.27 1.27))) + ) + (text "R" (at -1.905 3.81 0) + (effects (font (size 1.27 1.27))) + ) + ) + (symbol "LED_RGBA_0_1" + (polyline + (pts + (xy -1.27 -5.08) + (xy -2.54 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -5.08) + (xy 1.27 -5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -3.81) + (xy -1.27 -6.35) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 0) + (xy -2.54 0) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 1.27) + (xy -1.27 -1.27) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 5.08) + (xy -2.54 5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 5.08) + (xy 1.27 5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 6.35) + (xy -1.27 3.81) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy -1.27 0) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy 2.54 0) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 1.27) + (xy -1.27 -1.27) + (xy -1.27 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 6.35) + (xy -1.27 3.81) + (xy -1.27 3.81) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -5.08) + (xy 2.032 -5.08) + (xy 2.032 5.08) + (xy 1.27 5.08) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -3.81) + (xy 1.27 -6.35) + (xy -1.27 -5.08) + (xy 1.27 -3.81) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 1.27) + (xy 1.27 -1.27) + (xy -1.27 0) + (xy 1.27 1.27) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 6.35) + (xy 1.27 3.81) + (xy -1.27 5.08) + (xy 1.27 6.35) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 -3.81) + (xy 0.508 -2.286) + (xy -0.254 -2.286) + (xy 0.508 -2.286) + (xy 0.508 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 1.27) + (xy 0.508 2.794) + (xy -0.254 2.794) + (xy 0.508 2.794) + (xy 0.508 2.032) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.016 6.35) + (xy 0.508 7.874) + (xy -0.254 7.874) + (xy 0.508 7.874) + (xy 0.508 7.112) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -3.81) + (xy 1.524 -2.286) + (xy 0.762 -2.286) + (xy 1.524 -2.286) + (xy 1.524 -3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 1.524 2.794) + (xy 0.762 2.794) + (xy 1.524 2.794) + (xy 1.524 2.032) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 6.35) + (xy 1.524 7.874) + (xy 0.762 7.874) + (xy 1.524 7.874) + (xy 1.524 7.112) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 1.27 -1.27) (end 1.27 1.27) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 1.27 1.27) (end 1.27 1.27) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 1.27 3.81) (end 1.27 6.35) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 1.27 6.35) (end 1.27 6.35) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.254) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + (rectangle (start 2.794 8.382) (end -2.794 -7.62) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "LED_RGBA_1_1" + (pin passive line (at -5.08 5.08 0) (length 2.54) + (name "RK" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "GK" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 2.54) + (name "BK" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R_US" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 2.54 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R_US" (at -2.54 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 1.016 -0.254 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor, US symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_US_0_1" + (polyline + (pts + (xy 0 -2.286) + (xy 0 -2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.286) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -0.762) + (xy 1.016 -1.143) + (xy 0 -1.524) + (xy -1.016 -1.905) + (xy 0 -2.286) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0.762) + (xy 1.016 0.381) + (xy 0 0) + (xy -1.016 -0.381) + (xy 0 -0.762) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.286) + (xy 1.016 1.905) + (xy 0 1.524) + (xy -1.016 1.143) + (xy 0 0.762) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "R_US_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Interface_USB:FT230XS" (in_bom yes) (on_board yes) + (property "Reference" "U" (at -13.97 15.24 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "FT230XS" (at 7.62 15.24 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (at 25.4 -15.24 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT230X.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "FTDI USB UART interface converter" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Full Speed USB to Basic UART, SSOP-16" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SSOP*3.9x4.9*P0.635mm*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "FT230XS_0_1" + (rectangle (start -13.97 13.97) (end 13.97 -13.97) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "FT230XS_1_1" + (pin output line (at 17.78 10.16 180) (length 3.81) + (name "TXD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -17.78 10.16 0) (length 3.81) + (name "3V3OUT" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -17.78 -5.08 0) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 17.78 270) (length 3.81) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -17.78 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 3.81) + (name "CBUS1" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 3.81) + (name "CBUS0" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 3.81) + (name "CBUS3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 5.08 180) (length 3.81) + (name "~{RTS}" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 17.78 270) (length 3.81) + (name "VCCIO" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 7.62 180) (length 3.81) + (name "RXD" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -17.78 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 2.54 180) (length 3.81) + (name "~{CTS}" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 3.81) + (name "CBUS2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -17.78 0 0) (length 3.81) + (name "USBDP" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -17.78 2.54 0) (length 3.81) + (name "USBDM" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "MCU_Module:Arduino_UNO_R2" (in_bom yes) (on_board yes) + (property "Reference" "A" (at -10.16 23.495 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Value" "Arduino_UNO_R2" (at 5.08 -26.67 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (property "Footprint" "Module:Arduino_UNO_R2" (at 0 0 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "https://www.arduino.cc/en/Main/arduinoBoardUno" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Arduino UNO R3 Microcontroller Module Atmel AVR USB" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Arduino UNO Microcontroller Module, release 2" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Arduino*UNO*R2*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Arduino_UNO_R2_0_1" + (rectangle (start -10.16 22.86) (end 10.16 -25.4) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "Arduino_UNO_R2_1_1" + (pin no_connect line (at -10.16 -20.32 0) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 2.54) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 2.54) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 2.54) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -10.16 180) (length 2.54) + (name "SDA/A4" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -12.7 180) (length 2.54) + (name "SCL/A5" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 15.24 0) (length 2.54) + (name "D0/RX" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 12.7 0) (length 2.54) + (name "D1/TX" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 10.16 0) (length 2.54) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 7.62 0) (length 2.54) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 5.08 0) (length 2.54) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 12.7 10.16 180) (length 2.54) + (name "IOREF" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 2.54 0) (length 2.54) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 0 0) (length 2.54) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -2.54 0) (length 2.54) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -5.08 0) (length 2.54) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -7.62 0) (length 2.54) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -10.16 0) (length 2.54) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -12.7 0) (length 2.54) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -15.24 0) (length 2.54) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -12.7 -17.78 0) (length 2.54) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -27.94 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 15.24 180) (length 2.54) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 5.08 180) (length 2.54) + (name "AREF" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 2.54 25.4 270) (length 2.54) + (name "3V3" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 5.08 25.4 270) (length 2.54) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -27.94 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -27.94 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 25.4 270) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 0 180) (length 2.54) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "New_Library:MSP430FR2476TPTR" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U1" (at 0 45.72 0) + (effects (font (size 1.524 1.524))) + ) + (property "Value" "MSP430FR2476" (at 0 43.18 0) + (effects (font (size 1.524 1.524))) + ) + (property "Footprint" "PT0048A_N" (at 0 1.27 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "MSP430FR2476TPTR" (at 0 1.27 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Part Number" "MSP430FR2476TPTR" (at 0 1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "MSP430FR2476TPTR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "PT0048A_N PT0048A_M PT0048A_L" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MSP430FR2476TPTR_0_1" + (pin power_in line (at -62.23 36.83 0) (length 5.08) + (name "DVCC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -26.67 0) (length 5.08) + (name "P5.3_UCB1CLK_TA3.0_A10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -29.21 0) (length 5.08) + (name "P5.4_UCB1STE_TA3CLK_A11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 26.67 0) (length 5.08) + (name "P1.0_UCB0STE_TA0CLK_A0_VEREF+" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 24.13 0) (length 5.08) + (name "P1.1_UCB0CLK_TA0.1_COMP0.0_A1" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 21.59 0) (length 5.08) + (name "P1.2_UCB0SIMO_UCB0SDA_TA0.2_A2_VEREF-" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 19.05 0) (length 5.08) + (name "P1.3_UCB0SOMI_UCB0SCL_MCLK_A3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 29.21 180) (length 5.08) + (name "P2.2_SYNC_ACLK_COMP0.1" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 -1.27 180) (length 5.08) + (name "P4.5_UCB0SOMI_UCB0SCL_TA3.2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 -3.81 180) (length 5.08) + (name "P4.6_UCB0SIMO_UCB0SDA_TA3.1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -31.75 0) (length 5.08) + (name "P5.5_UCB0CLK_TA2CLK" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 -21.59 180) (length 5.08) + (name "RST_NMI_SBWTDIO_N" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -34.29 0) (length 5.08) + (name "P5.6_UCB0STE_TA2.0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -36.83 0) (length 5.08) + (name "P5.7_TA2.1_COMP0.2" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 -11.43 180) (length 5.08) + (name "P6.0_TA2.2_COMP0.3" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 3.81 0) (length 5.08) + (name "P3.0_TA2.2_CAP0.0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -3.81 0) (length 5.08) + (name "P3.3_TA2.1_CAP0.1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 26.67 180) (length 5.08) + (name "P2.3_TA2.0_CAP0.2" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -6.35 0) (length 5.08) + (name "P3.4_TA2CLK_COMP0OUT_CAP0.3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 1.27 0) (length 5.08) + (name "P3.1_UCA1STE_CAP1.0" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 24.13 180) (length 5.08) + (name "P2.4_UCA1CLK_CAP1.1" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 21.59 180) (length 5.08) + (name "P2.5_UCA1RXD_UCA1SOMI_CAP1.2" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 58.42 -24.13 180) (length 5.08) + (name "TEST_SBWTCK" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 19.05 180) (length 5.08) + (name "P2.6_UCA1TXD_UCA1SIMO_CAP1.3" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -62.23 31.75 0) (length 5.08) + (name "VREG" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -13.97 0) (length 5.08) + (name "P3.7_TA3.2_CAP2.0" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 11.43 180) (length 5.08) + (name "P4.0_TA3.1_CAP2.1" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 8.89 180) (length 5.08) + (name "P4.1_TA3.0_CAP2.2" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 6.35 180) (length 5.08) + (name "P4.2_TA3CLK_CAP2.3" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 16.51 180) (length 5.08) + (name "P2.7_UCB1STE_CAP3.0" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -8.89 0) (length 5.08) + (name "P3.5_UCB1CLK_TB0TRG_CAP3.1" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -1.27 0) (length 5.08) + (name "P3.2_UCB1SIMO_UCB1SDA_CAP3.2" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -11.43 0) (length 5.08) + (name "P3.6_UCB1SOMI_UCB1SCL_CAP3.3" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 16.51 0) (length 5.08) + (name "P1.4_UCA0TXD_UCA0SIMO_TA1.2_TCK_A4_VREF+" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 -13.97 180) (length 5.08) + (name "P6.1_TB0CLK" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 -16.51 180) (length 5.08) + (name "P6.2_TB0.0" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 -6.35 180) (length 5.08) + (name "P4.7_UCA0STE_TB0.1" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -19.05 0) (length 5.08) + (name "P5.0_UCA0CLK_TB0.2" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -21.59 0) (length 5.08) + (name "P5.1_UCA0RXD_UCA0SOMI_TB0.3" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 -24.13 0) (length 5.08) + (name "P5.2_UCA0TXD_UCA0SIMO_TB0.4" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 34.29 180) (length 5.08) + (name "P2.0_XOUT" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 31.75 180) (length 5.08) + (name "P2.1_XIN" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 58.42 -36.83 180) (length 5.08) + (name "DVSS" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 13.97 0) (length 5.08) + (name "P1.5_UCA0RXD_UCA0SOMI_TA1.1_TMS_A5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 11.43 0) (length 5.08) + (name "P1.6_UCA0CLK_TA1CLK_TDI_TCLK_A6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -62.23 8.89 0) (length 5.08) + (name "P1.7_UCA0STE_SMCLK_TDO_A7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 3.81 180) (length 5.08) + (name "P4.3_UCB1SOMI_UCB1SCL_TB0.5_A8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 58.42 1.27 180) (length 5.08) + (name "P4.4_UCB1SIMO_UCB1SDA_TB0.6_A9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "MSP430FR2476TPTR_1_1" + (rectangle (start -57.15 41.91) (end 53.34 -39.37) + (stroke (width 0) (type default)) + (fill (type background)) + ) + ) + ) + (symbol "New_Library:SN74LVC07APWR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at -12.7 16.2306 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Value" "SN74LVC07APWR" (at -12.7 -19.2278 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Footprint" "SOP65P640X120-14N" (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "ki_locked" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "SN74LVC07APWR_0_0" + (rectangle (start -12.7 -15.24) (end 12.7 15.24) + (stroke (width 0.4064) (type solid)) + (fill (type background)) + ) + (pin input line (at -17.78 7.62 0) (length 5.08) + (name "1A" (effects (font (size 1.016 1.016)))) + (number "1" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 -2.54 180) (length 5.08) + (name "5Y" (effects (font (size 1.016 1.016)))) + (number "10" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -17.78 -2.54 0) (length 5.08) + (name "5A" (effects (font (size 1.016 1.016)))) + (number "11" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 -5.08 180) (length 5.08) + (name "6Y" (effects (font (size 1.016 1.016)))) + (number "12" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -17.78 -5.08 0) (length 5.08) + (name "6A" (effects (font (size 1.016 1.016)))) + (number "13" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VCC" (effects (font (size 1.016 1.016)))) + (number "14" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 7.62 180) (length 5.08) + (name "1Y" (effects (font (size 1.016 1.016)))) + (number "2" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -17.78 5.08 0) (length 5.08) + (name "2A" (effects (font (size 1.016 1.016)))) + (number "3" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 5.08 180) (length 5.08) + (name "2Y" (effects (font (size 1.016 1.016)))) + (number "4" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -17.78 2.54 0) (length 5.08) + (name "3A" (effects (font (size 1.016 1.016)))) + (number "5" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 2.54 180) (length 5.08) + (name "3Y" (effects (font (size 1.016 1.016)))) + (number "6" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 17.78 -10.16 180) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "7" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 0 180) (length 5.08) + (name "4Y" (effects (font (size 1.016 1.016)))) + (number "8" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -17.78 0 0) (length 5.08) + (name "4A" (effects (font (size 1.016 1.016)))) + (number "9" (effects (font (size 1.016 1.016)))) + ) + ) + ) + (symbol "Regulator_Linear:AP130-33Y" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at -3.81 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP130-33Y" (at 0 3.175 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-89-3" (at 0 5.715 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP130.pdf" (at 0 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "0.3A Low Dropout regulator, positive, 3.3V fixed output, SOT-89" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?89*3*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AP130-33Y_0_1" + (rectangle (start -5.08 1.905) (end 5.08 -5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "AP130-33Y_1_1" + (pin power_out line (at 7.62 0 180) (length 2.54) + (name "VO" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 0 0) (length 2.54) + (name "VI" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Switch:SW_Push" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 1.27 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "SW_Push" (at 0 -1.524 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "switch normally-open pushbutton push-button" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Push button switch, generic, two pins" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_Push_0_1" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 1.27) + (xy 0 3.048) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 1.27) + (xy -2.54 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:VBUS" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VBUS" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"VBUS\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VBUS_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VBUS_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:VCC" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VCC" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"VCC\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "VCC_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "VCC_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + + (junction (at 65.405 154.305) (diameter 0) (color 0 0 0 0) + (uuid 00348ba1-0e2c-4156-8d5e-2cac5a4c0b96) + ) + (junction (at 159.385 181.61) (diameter 0) (color 0 0 0 0) + (uuid 00b55ec4-fba2-4b44-b144-dc44ef58ca61) + ) + (junction (at 114.935 142.875) (diameter 0) (color 0 0 0 0) + (uuid 18a6b058-eb5a-4aa2-bed9-b158dd72ddd8) + ) + (junction (at 53.975 179.07) (diameter 0) (color 0 0 0 0) + (uuid 2d440e5c-1fad-4aad-8ed0-26524e12670c) + ) + (junction (at 57.785 137.795) (diameter 0) (color 0 0 0 0) + (uuid 34823325-dc73-4d7b-913d-756802a13b46) + ) + (junction (at 53.975 164.465) (diameter 0) (color 0 0 0 0) + (uuid 35722cac-bfed-4a21-8fe4-1875cb955088) + ) + (junction (at 32.385 156.845) (diameter 0) (color 0 0 0 0) + (uuid 488772e8-b2c4-407a-ac07-0dbd91623afd) + ) + (junction (at 65.405 169.545) (diameter 0) (color 0 0 0 0) + (uuid 491d0a5a-cef8-48b6-abb3-a479fff74acf) + ) + (junction (at 148.59 189.23) (diameter 0) (color 0 0 0 0) + (uuid 4ccf91ca-f126-4612-ae15-c3464697ac5e) + ) + (junction (at 137.16 181.61) (diameter 0) (color 0 0 0 0) + (uuid 55d89abb-ce55-4485-9422-23aba08bea5a) + ) + (junction (at 44.45 137.795) (diameter 0) (color 0 0 0 0) + (uuid 639973f9-b394-45e3-af8c-dbd251b3d69d) + ) + (junction (at 57.785 146.685) (diameter 0) (color 0 0 0 0) + (uuid 67d34c0d-1338-40a6-8e56-bd5d195c78fc) + ) + (junction (at 92.075 27.305) (diameter 0) (color 0 0 0 0) + (uuid 6d2ec2b6-69ba-4a79-91a2-3b39f541b093) + ) + (junction (at 259.715 85.725) (diameter 0) (color 0 0 0 0) + (uuid 70eb0763-a622-4cbc-8355-8b3a550468fa) + ) + (junction (at 123.825 156.845) (diameter 0) (color 0 0 0 0) + (uuid 7417aabd-167b-4e6a-92e9-769f14188e1c) + ) + (junction (at 97.155 142.875) (diameter 0) (color 0 0 0 0) + (uuid 8295a2e8-819e-401f-b5e0-3ff5368b24ef) + ) + (junction (at 104.775 27.305) (diameter 0) (color 0 0 0 0) + (uuid 8ac9a8d5-b045-435f-a623-b9635f389078) + ) + (junction (at 35.56 80.01) (diameter 0) (color 0 0 0 0) + (uuid 9a20b195-29b7-4149-a8de-ea567dffad8d) + ) + (junction (at 42.545 161.925) (diameter 0) (color 0 0 0 0) + (uuid 9f7684af-b3ee-46fc-977a-31c9ea4b8001) + ) + (junction (at 254.635 32.385) (diameter 0) (color 0 0 0 0) + (uuid a03f1bf6-ab8d-45a0-b58e-1e1b4991bcd7) + ) + (junction (at 186.69 149.86) (diameter 0) (color 0 0 0 0) + (uuid a21502d3-6a72-4164-908c-6f9132586301) + ) + (junction (at 21.59 179.07) (diameter 0) (color 0 0 0 0) + (uuid a8261cf2-5310-47ae-a6d6-978ff3fa3b66) + ) + (junction (at 32.385 179.07) (diameter 0) (color 0 0 0 0) + (uuid bcd37a64-0952-45c6-8225-14d99ba290ad) + ) + (junction (at 42.545 179.07) (diameter 0) (color 0 0 0 0) + (uuid c930748c-f8d1-4f5f-826b-13a498c74d33) + ) + (junction (at 114.935 154.305) (diameter 0) (color 0 0 0 0) + (uuid cb8c1857-4bd8-48b2-9e12-28fc7053ff35) + ) + (junction (at 186.69 135.89) (diameter 0) (color 0 0 0 0) + (uuid d76f4ff2-2eae-466a-8125-639322847292) + ) + (junction (at 32.385 147.955) (diameter 0) (color 0 0 0 0) + (uuid e7aeb22c-baf1-49d4-9df3-73afd3f4a1f9) + ) + (junction (at 269.875 32.385) (diameter 0) (color 0 0 0 0) + (uuid f251dcb4-f1c7-4c71-9b84-df8273819a5b) + ) + + (no_connect (at 29.21 167.005) (uuid 38c2fe21-96e7-4008-8a61-a41d3b32a7df)) + (no_connect (at 117.475 32.385) (uuid ce6d5849-cd96-4755-bd62-67ad23481437)) + + (wire (pts (xy 114.935 142.875) (xy 114.935 144.145)) + (stroke (width 0) (type default)) + (uuid 04e640dc-1074-4b2f-b346-fe761a518bb4) + ) + (wire (pts (xy 21.59 179.07) (xy 32.385 179.07)) + (stroke (width 0) (type default)) + (uuid 05f8c9b8-a92a-4eab-a35c-221ac5d8340e) + ) + (wire (pts (xy 48.895 156.845) (xy 57.785 156.845)) + (stroke (width 0) (type default)) + (uuid 0b887e7d-7a9c-4e0b-8a6a-c5ab81a14306) + ) + (wire (pts (xy 97.155 146.685) (xy 97.155 142.875)) + (stroke (width 0) (type default)) + (uuid 14f91597-b98e-4b4c-ad0c-f5d28a41d0df) + ) + (wire (pts (xy 65.405 142.875) (xy 65.405 154.305)) + (stroke (width 0) (type default)) + (uuid 152aa11b-85ea-4f17-9594-b005b64dcf8e) + ) + (wire (pts (xy 137.16 189.23) (xy 148.59 189.23)) + (stroke (width 0) (type default)) + (uuid 194a2d61-685d-43a6-85dc-92d0d7004d58) + ) + (wire (pts (xy 247.65 124.46) (xy 253.365 124.46)) + (stroke (width 0) (type default)) + (uuid 1b925001-fa55-43f0-944d-38dfa75d80f3) + ) + (wire (pts (xy 253.365 128.905) (xy 253.365 129.54)) + (stroke (width 0) (type default)) + (uuid 2324f516-9e7f-41c9-aac6-178bbeb700a2) + ) + (wire (pts (xy 238.125 32.385) (xy 254.635 32.385)) + (stroke (width 0) (type default)) + (uuid 299cafca-14d7-41aa-989d-592e00ebca8e) + ) + (wire (pts (xy 253.365 141.605) (xy 253.365 140.97)) + (stroke (width 0) (type default)) + (uuid 2d991d99-d50e-47d3-9426-5eaec2a30c52) + ) + (wire (pts (xy 97.155 142.875) (xy 114.935 142.875)) + (stroke (width 0) (type default)) + (uuid 2ebb51f4-4d6e-491c-85ae-e63874e48751) + ) + (wire (pts (xy 29.21 161.925) (xy 42.545 161.925)) + (stroke (width 0) (type default)) + (uuid 30901903-6170-48be-8ace-de27e600ee73) + ) + (wire (pts (xy 148.59 189.23) (xy 159.385 189.23)) + (stroke (width 0) (type default)) + (uuid 35eeeda3-98ec-4b2b-91c8-8f5338e128db) + ) + (wire (pts (xy 245.11 136.525) (xy 245.11 151.13)) + (stroke (width 0) (type default)) + (uuid 385e5575-4364-4381-937d-35b67633cbff) + ) + (wire (pts (xy 241.935 139.065) (xy 247.65 139.065)) + (stroke (width 0) (type default)) + (uuid 3b978463-03ad-4046-97fc-363c0e8fe90d) + ) + (wire (pts (xy 19.05 172.085) (xy 19.05 179.07)) + (stroke (width 0) (type default)) + (uuid 3f8857c8-3164-4a1b-9a89-49a84ebab4b0) + ) + (wire (pts (xy 65.405 154.305) (xy 65.405 169.545)) + (stroke (width 0) (type default)) + (uuid 400b1ed6-2ead-4850-8949-06087d91483b) + ) + (wire (pts (xy 123.825 156.845) (xy 123.825 151.765)) + (stroke (width 0) (type default)) + (uuid 43b2ac82-1ee2-4064-ae41-306fcb0f5ff3) + ) + (wire (pts (xy 29.21 164.465) (xy 53.975 164.465)) + (stroke (width 0) (type default)) + (uuid 49d20a97-2f44-4c99-848b-deec62b4a74f) + ) + (wire (pts (xy 114.935 154.305) (xy 132.715 154.305)) + (stroke (width 0) (type default)) + (uuid 4ace756a-6d6c-4995-8996-49fb64e7159c) + ) + (wire (pts (xy 247.65 139.065) (xy 247.65 146.05)) + (stroke (width 0) (type default)) + (uuid 5133a0ab-be8e-4a1c-a8fc-44bee590f5ac) + ) + (wire (pts (xy 241.935 131.445) (xy 247.65 131.445)) + (stroke (width 0) (type default)) + (uuid 52ee43ab-a3a6-481d-a96b-aa53052439df) + ) + (wire (pts (xy 241.935 136.525) (xy 245.11 136.525)) + (stroke (width 0) (type default)) + (uuid 533f6e54-dee2-4045-a8d9-f4a66448c31f) + ) + (wire (pts (xy 112.395 154.305) (xy 114.935 154.305)) + (stroke (width 0) (type default)) + (uuid 5369cc57-3a5b-4e06-89f6-81d343c9052c) + ) + (wire (pts (xy 259.715 83.185) (xy 259.715 85.725)) + (stroke (width 0) (type default)) + (uuid 577c6b8f-d7c9-423e-8d4f-b469a5c46f61) + ) + (wire (pts (xy 29.21 156.845) (xy 32.385 156.845)) + (stroke (width 0) (type default)) + (uuid 57b9142f-6d8c-434a-84eb-9731dba98644) + ) + (wire (pts (xy 32.385 179.07) (xy 42.545 179.07)) + (stroke (width 0) (type default)) + (uuid 5abb2854-5413-4827-af02-487050e8a966) + ) + (wire (pts (xy 63.5 161.925) (xy 63.5 160.655)) + (stroke (width 0) (type default)) + (uuid 5d4acd83-adeb-421e-bc2a-afb55910af27) + ) + (wire (pts (xy 19.05 179.07) (xy 21.59 179.07)) + (stroke (width 0) (type default)) + (uuid 6528e96f-ef58-43e6-9a43-abfd67277e11) + ) + (wire (pts (xy 186.69 121.285) (xy 186.69 135.89)) + (stroke (width 0) (type default)) + (uuid 69e8bda0-7f64-4191-ab54-74060de0d42e) + ) + (wire (pts (xy 247.65 131.445) (xy 247.65 124.46)) + (stroke (width 0) (type default)) + (uuid 6bab2cf1-8725-46f5-b4aa-fd01b12f6445) + ) + (wire (pts (xy 44.45 137.795) (xy 32.385 137.795)) + (stroke (width 0) (type default)) + (uuid 6d0f85a3-fd27-4ec5-8d64-2132c9c37c45) + ) + (wire (pts (xy 65.405 169.545) (xy 76.835 169.545)) + (stroke (width 0) (type default)) + (uuid 76985b65-64f8-41a7-9b8f-ce8ebe75990c) + ) + (wire (pts (xy 32.385 156.845) (xy 32.385 171.45)) + (stroke (width 0) (type default)) + (uuid 76e9cd84-4ed9-4998-96b2-565627b93fd2) + ) + (wire (pts (xy 112.395 156.845) (xy 123.825 156.845)) + (stroke (width 0) (type default)) + (uuid 799b1344-d09e-49c3-907f-fb714894f369) + ) + (wire (pts (xy 53.975 179.07) (xy 65.405 179.07)) + (stroke (width 0) (type default)) + (uuid 7cd9f15f-6851-4812-8f3e-36373d9c94f6) + ) + (wire (pts (xy 247.65 146.05) (xy 253.365 146.05)) + (stroke (width 0) (type default)) + (uuid 7d78b40d-14e9-4eb6-9bd6-a9c30b506136) + ) + (wire (pts (xy 65.405 154.305) (xy 76.835 154.305)) + (stroke (width 0) (type default)) + (uuid 8069839b-0a8d-4714-8531-8bdd2e9ed84c) + ) + (wire (pts (xy 44.45 137.795) (xy 44.45 140.335)) + (stroke (width 0) (type default)) + (uuid 8160b8f0-ee57-43fa-9be9-932089b88203) + ) + (wire (pts (xy 114.935 154.305) (xy 114.935 151.765)) + (stroke (width 0) (type default)) + (uuid 81a68034-767d-42f4-bd36-0cdf41e21ac1) + ) + (wire (pts (xy 90.805 27.305) (xy 92.075 27.305)) + (stroke (width 0) (type default)) + (uuid 839a52b6-bb40-4035-b0f5-c20e282b47f2) + ) + (wire (pts (xy 53.975 160.655) (xy 53.975 164.465)) + (stroke (width 0) (type default)) + (uuid 8866b9a3-c8b5-490a-b701-630bc7980468) + ) + (wire (pts (xy 254.635 22.86) (xy 269.875 22.86)) + (stroke (width 0) (type default)) + (uuid 8fb8b12e-e2dc-4060-ba31-a5417fb6468b) + ) + (wire (pts (xy 156.21 181.61) (xy 159.385 181.61)) + (stroke (width 0) (type default)) + (uuid 9030baa8-ed7b-40a1-86fe-e6e4d8dc1d39) + ) + (wire (pts (xy 92.075 146.685) (xy 57.785 146.685)) + (stroke (width 0) (type default)) + (uuid 908042ee-3c6a-4d63-b3f6-4371fa4d85d6) + ) + (wire (pts (xy 266.065 32.385) (xy 269.875 32.385)) + (stroke (width 0) (type default)) + (uuid 91c75f47-f4a6-4b86-9738-7c471efefce5) + ) + (wire (pts (xy 69.215 164.465) (xy 62.23 164.465)) + (stroke (width 0) (type default)) + (uuid 9692ccb4-c973-4b46-bf93-c0d8ba60cf56) + ) + (wire (pts (xy 65.405 169.545) (xy 65.405 171.45)) + (stroke (width 0) (type default)) + (uuid 97718646-6d75-4f30-8cbb-911c2b21d40c) + ) + (wire (pts (xy 79.375 27.305) (xy 83.185 27.305)) + (stroke (width 0) (type default)) + (uuid 98d080bf-ccdf-4048-b5a0-bf30ef5e8703) + ) + (wire (pts (xy 241.935 141.605) (xy 253.365 141.605)) + (stroke (width 0) (type default)) + (uuid 9dcaf567-c086-41a9-bbf3-1db624e7a8ee) + ) + (wire (pts (xy 238.125 29.845) (xy 254.635 29.845)) + (stroke (width 0) (type default)) + (uuid a100e75e-7d00-4f73-ad6b-95f7c1e77a41) + ) + (wire (pts (xy 69.215 161.925) (xy 63.5 161.925)) + (stroke (width 0) (type default)) + (uuid a7b44406-2e83-4e6e-9d03-642c45f762a7) + ) + (wire (pts (xy 269.875 22.86) (xy 269.875 32.385)) + (stroke (width 0) (type default)) + (uuid a7e9b8bd-5b7f-4979-9883-ae9b6089c0bd) + ) + (wire (pts (xy 104.775 27.305) (xy 117.475 27.305)) + (stroke (width 0) (type default)) + (uuid aa53ea1e-7a2f-4bb2-bce1-9f26dbe3a56c) + ) + (wire (pts (xy 32.385 147.955) (xy 44.45 147.955)) + (stroke (width 0) (type default)) + (uuid ab9209e4-0190-4326-8a61-bcf9456e701c) + ) + (wire (pts (xy 245.11 133.985) (xy 245.11 119.38)) + (stroke (width 0) (type default)) + (uuid acaebda1-2e0c-4468-a914-2616e321284c) + ) + (wire (pts (xy 254.635 29.845) (xy 254.635 22.86)) + (stroke (width 0) (type default)) + (uuid ad668370-50a5-45e1-ba0a-910336316904) + ) + (wire (pts (xy 97.155 142.875) (xy 65.405 142.875)) + (stroke (width 0) (type default)) + (uuid ae3154be-1108-4330-943c-24d216a8f43c) + ) + (wire (pts (xy 57.785 146.685) (xy 57.785 137.795)) + (stroke (width 0) (type default)) + (uuid aea2e6e7-34c7-442b-af31-fdf5de643f5b) + ) + (wire (pts (xy 137.16 181.61) (xy 140.97 181.61)) + (stroke (width 0) (type default)) + (uuid af14635f-c020-4b55-9bdc-3767228e6c7a) + ) + (wire (pts (xy 186.69 135.89) (xy 186.69 149.86)) + (stroke (width 0) (type default)) + (uuid af36ec10-cde2-460e-bbcb-16a69e801793) + ) + (wire (pts (xy 259.715 85.725) (xy 259.715 88.265)) + (stroke (width 0) (type default)) + (uuid b2eb2bbc-9cde-4e12-be18-0b5b7e3d343d) + ) + (wire (pts (xy 35.56 80.01) (xy 38.1 80.01)) + (stroke (width 0) (type default)) + (uuid b3dcd025-ad34-4a25-84db-99f2722281f2) + ) + (wire (pts (xy 62.23 164.465) (xy 62.23 161.925)) + (stroke (width 0) (type default)) + (uuid b6ec5342-6f2d-473a-91e8-e0eefe2b8a17) + ) + (wire (pts (xy 32.385 137.795) (xy 32.385 140.335)) + (stroke (width 0) (type default)) + (uuid ba4daf37-1c22-433b-a973-124a685c8e3b) + ) + (wire (pts (xy 241.935 128.905) (xy 253.365 128.905)) + (stroke (width 0) (type default)) + (uuid bc6899f1-ed5f-4296-bb4c-a78e7df133c2) + ) + (wire (pts (xy 42.545 179.07) (xy 53.975 179.07)) + (stroke (width 0) (type default)) + (uuid bfe81721-1c47-4ae7-b198-86332b7af06e) + ) + (wire (pts (xy 57.785 137.795) (xy 44.45 137.795)) + (stroke (width 0) (type default)) + (uuid c261efc0-49d1-45fa-9608-3a6e9d0aee0f) + ) + (wire (pts (xy 104.775 27.305) (xy 104.775 32.385)) + (stroke (width 0) (type default)) + (uuid c85372e2-c262-45df-be7a-56502c2f5f6b) + ) + (wire (pts (xy 123.825 142.875) (xy 123.825 144.145)) + (stroke (width 0) (type default)) + (uuid c96a8b13-cc85-498f-84d8-0cd08f84a792) + ) + (wire (pts (xy 57.785 146.685) (xy 57.785 156.845)) + (stroke (width 0) (type default)) + (uuid cba92b26-b502-44f5-904e-2bfd3b08beee) + ) + (wire (pts (xy 186.69 149.86) (xy 186.69 153.67)) + (stroke (width 0) (type default)) + (uuid cbc64564-62ec-4e82-b4ac-3b629ce4e02c) + ) + (wire (pts (xy 53.975 164.465) (xy 53.975 171.45)) + (stroke (width 0) (type default)) + (uuid d3ed90cf-0d9b-4f7f-a2be-af97e5f0e03e) + ) + (wire (pts (xy 92.075 27.305) (xy 92.075 32.385)) + (stroke (width 0) (type default)) + (uuid d429d979-0af0-4565-80ba-ecfd64bc810b) + ) + (wire (pts (xy 114.935 142.875) (xy 123.825 142.875)) + (stroke (width 0) (type default)) + (uuid d454293a-7c61-443a-bbca-0ca3ebd0f89c) + ) + (wire (pts (xy 245.11 119.38) (xy 253.365 119.38)) + (stroke (width 0) (type default)) + (uuid d96a708a-6ca3-4f7e-b667-3b62af0f3703) + ) + (wire (pts (xy 123.825 156.845) (xy 132.715 156.845)) + (stroke (width 0) (type default)) + (uuid e1d3acce-70ae-4fdf-9937-7d4ef2038ed8) + ) + (wire (pts (xy 62.23 161.925) (xy 42.545 161.925)) + (stroke (width 0) (type default)) + (uuid e41d8d62-605a-42a1-8fb4-4b57c233c2c1) + ) + (wire (pts (xy 245.11 151.13) (xy 253.365 151.13)) + (stroke (width 0) (type default)) + (uuid e4bb37d2-a5bc-446c-9326-dec4565e2a99) + ) + (wire (pts (xy 238.125 85.725) (xy 259.715 85.725)) + (stroke (width 0) (type default)) + (uuid ed298c27-a526-4d16-b799-b209ee6c405c) + ) + (wire (pts (xy 241.935 133.985) (xy 245.11 133.985)) + (stroke (width 0) (type default)) + (uuid f0008236-d5af-4eea-b9cc-91a899747f7d) + ) + (wire (pts (xy 33.02 80.01) (xy 35.56 80.01)) + (stroke (width 0) (type default)) + (uuid f1db5cef-dc15-4fdd-866d-7d512fcd5b21) + ) + (wire (pts (xy 254.635 32.385) (xy 258.445 32.385)) + (stroke (width 0) (type default)) + (uuid f7b20463-c7fc-4d3d-9e3c-76f58a91989c) + ) + (wire (pts (xy 92.075 27.305) (xy 104.775 27.305)) + (stroke (width 0) (type default)) + (uuid f85b8131-ff96-4f40-83c2-981f92c0f3b0) + ) + (wire (pts (xy 21.59 172.085) (xy 21.59 179.07)) + (stroke (width 0) (type default)) + (uuid fa60df99-9ad7-4176-bec5-0617faa18caf) + ) + (wire (pts (xy 32.385 156.845) (xy 41.275 156.845)) + (stroke (width 0) (type default)) + (uuid fbb02a22-964b-4dd7-b2bb-df6d88a593bb) + ) + (wire (pts (xy 259.715 85.725) (xy 268.605 85.725)) + (stroke (width 0) (type default)) + (uuid fd43f235-3326-444e-9e1e-f1f806cc7d56) + ) + (wire (pts (xy 63.5 160.655) (xy 53.975 160.655)) + (stroke (width 0) (type default)) + (uuid fdb350ea-d58f-4833-acc9-87f9eb0e8c2d) + ) + (wire (pts (xy 42.545 161.925) (xy 42.545 171.45)) + (stroke (width 0) (type default)) + (uuid fe498d69-bdde-4fd1-8dad-178695e077e2) + ) + + (label "USB_P" (at 40.005 161.925 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 16560d3a-b9cd-4bd8-af80-6d3846b6a53e) + ) + (label "USB_N" (at 40.005 164.465 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4062e880-54d8-4389-83fd-2c30c3b1788a) + ) + (label "VUSB" (at 34.29 156.845 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 72b36e79-4402-420f-88b9-61c60b71abe1) + ) + + (global_label "EXTRA1" (shape input) (at 117.475 83.185 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 023d953e-f781-4c9c-8738-6708823cb964) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 107.675 83.185 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "MISO" (shape input) (at 117.475 75.565 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0969d894-76f5-48f7-95f8-8e953d1fffbd) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 109.973 75.565 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED4" (shape input) (at 117.475 95.885 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0a33ba5a-8811-43a1-8980-7b3897a67f98) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 109.9126 95.885 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "TEST_SBWTCK" (shape input) (at 28.575 111.125 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0a8ebe5f-6ca0-479a-b239-d6b47f6d4165) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 44.1806 111.125 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "D8" (shape input) (at 238.125 67.945 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0cdf6147-9251-4a59-8a7e-e33c16fa540a) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 243.5103 67.945 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "BUTTON1" (shape input) (at 168.91 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 13373cc3-0786-4685-8b3a-41f5bf68d05d) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 157.598 135.89 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "D8" (shape input) (at 22.86 57.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 154d6046-d4c0-4a4e-999b-023722b351c2) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.4747 57.15 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "A2" (shape input) (at 48.26 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 209722f0-4619-4350-8873-d47db751600b) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 53.4639 57.15 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "D4" (shape input) (at 117.475 78.105 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 271a46f1-0d60-4c3b-ac2c-4ee2ad8e81f4) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 112.0897 78.105 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED6" (shape input) (at 117.475 100.965 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 294d9286-dde5-4c34-b94d-ff907081498a) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 109.9126 100.965 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED2" (shape input) (at 206.375 131.445 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2b6f1ce0-18c6-4f10-ab7d-5a353bdf1c53) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 198.8126 131.445 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "A5" (shape input) (at 117.475 45.085 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2b72ca80-8161-4cd8-9f15-d9d6c2868b1c) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 112.2711 45.085 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "AREF" (shape input) (at 48.26 46.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2c36b5f1-fbc3-429d-a0d9-d00a039f7977) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 55.762 46.99 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "D7" (shape input) (at 22.86 54.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2cdc83be-4081-44f9-b244-4e2eee9a0ebf) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.4747 54.61 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "A2" (shape input) (at 117.475 50.165 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2ed1d13b-74e5-4c37-bccd-7d96ff87f165) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 112.2711 50.165 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "A4" (shape input) (at 117.475 42.545 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2f44d230-8a51-4992-99dd-2c8292d43984) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 112.2711 42.545 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED6" (shape input) (at 206.375 136.525 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 30190254-4465-40b5-87f4-f4267834541c) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 198.8126 136.525 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "D4" (shape input) (at 22.86 46.99 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 30288552-059e-4429-a346-e1836f51d975) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.4747 46.99 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "TX" (shape input) (at 22.86 39.37 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 30928f20-dd52-410d-9409-b50bd8d10e3f) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.7771 39.37 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "RST_SBWTDIO" (shape input) (at 268.605 85.725 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 32760116-5395-4099-8401-5637133b6ae2) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 284.0293 85.725 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "EXTRA5" (shape input) (at 65.405 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 327f5ce0-5281-4461-8071-0c9d5dcb43f2) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.205 109.22 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "AREF" (shape input) (at 117.475 47.625 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3531f3c2-06e4-4fe8-9742-d67680af4f42) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 109.973 47.625 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "D2" (shape input) (at 117.475 62.865 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 36b4fa0e-3974-427e-b724-1e01949b6019) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 112.0897 62.865 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "BUTTON2" (shape input) (at 168.91 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 38cda947-ac9f-4c03-b835-0ce97e012973) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 157.598 149.86 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "RX" (shape input) (at 238.125 42.545 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 497d5994-41f0-4523-8011-bbf65cb687ea) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 243.5103 42.545 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "A0" (shape input) (at 117.475 37.465 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 51e68a00-94ad-4d6b-baa1-6cfe76f815a8) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 112.2711 37.465 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "A1" (shape input) (at 48.26 54.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5560b7ec-bdcc-49c2-95fe-a10e85da3a5f) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 53.4639 54.61 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "RXD" (shape input) (at 132.715 156.845 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5847ca0a-db4e-4a01-9bdc-05b473538bd8) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 139.3703 156.845 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "D6" (shape input) (at 238.125 55.245 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5ad967b2-7324-4faa-8f3c-6d127abe9d7e) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 243.5103 55.245 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "BUTTON2" (shape input) (at 117.475 70.485 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5bb26871-d9a8-49c0-888a-34a69fd6b7e2) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 106.163 70.485 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "D6" (shape input) (at 22.86 52.07 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5e463615-f5c4-4dec-b48a-bb6dfb3da678) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.4747 52.07 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "EXTRA6" (shape input) (at 65.405 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5ff710e2-61c1-4978-bb53-e80dbcee70a0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.205 111.76 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "D7" (shape input) (at 238.125 70.485 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 691cd159-7c0c-45b1-a977-e5fc7bd613bd) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 243.5103 70.485 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "TXD" (shape input) (at 132.715 154.305 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 72dec1aa-8b71-4977-b418-0b8cd5353c19) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 139.0679 154.305 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "EXTRA4" (shape input) (at 65.405 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 793c4362-95ed-4074-b05e-f65019eb116f) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.205 106.68 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "RST_SBWTDIO" (shape input) (at 48.26 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7a193436-37b5-4231-8cdb-552236fc1bc9) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 63.6843 36.83 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "D3" (shape input) (at 238.125 40.005 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7b49eee3-22fc-4432-b0fa-24e6c6a9fe3b) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 243.5103 40.005 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "EXTRA5" (shape input) (at 238.125 60.325 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7d679cfd-9661-480f-857d-bc8a20114e3e) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 247.925 60.325 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "MOSI" (shape input) (at 22.86 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7dc00fcb-51a4-4f8d-af1c-67edec639ad4) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 15.358 64.77 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "D3" (shape input) (at 22.86 44.45 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7eb9f5fb-e20c-4feb-b064-5f12ac18eb02) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.4747 44.45 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED1" (shape input) (at 206.375 133.985 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8032f87d-9d3d-4f36-8e66-a1863863e092) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 198.8126 133.985 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "EXTRA2" (shape input) (at 238.125 34.925 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 853d2e14-afef-4a1d-86e2-4f4971cfb2e8) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 247.925 34.925 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "A5" (shape input) (at 48.26 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8722d57a-c5d7-4caa-9280-a5fa49e75d86) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 53.4639 64.77 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "A1" (shape input) (at 117.475 40.005 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8b5c7d28-f726-4ac7-a789-e2cbfe5a32e3) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 112.2711 40.005 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SS" (shape input) (at 22.86 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 95b10e51-e018-420c-ab04-5188e01d47a7) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.5352 62.23 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "BUTTON1" (shape input) (at 238.125 37.465 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9aa0d195-b5e8-47e1-ada1-c7563fe9f42c) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 249.437 37.465 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SCK" (shape input) (at 117.475 73.025 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9c37dc6e-d560-47ea-8a01-d8a06d8b5d4b) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 110.8197 73.025 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "D2" (shape input) (at 22.86 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9c67afc8-1fb2-4286-935c-dce81ff0a8b8) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.4747 41.91 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "EXTRA6" (shape input) (at 117.475 55.245 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a17882e9-d9e5-4384-aae9-96eb7f52ec61) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 107.675 55.245 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "A3" (shape input) (at 117.475 52.705 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a3c6d9c9-0379-4eb5-bbff-cd5665d5e62b) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 112.2711 52.705 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "A3" (shape input) (at 48.26 59.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a5862f6c-5fdc-4207-ab03-8f689656e2c5) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 53.4639 59.69 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "SCK" (shape input) (at 22.86 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ab32437b-fc2a-41f8-ab57-b54e28856368) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 16.2047 69.85 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "D5" (shape input) (at 22.86 49.53 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid abecf859-577a-4f92-9b4f-f3b54472fcc1) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.4747 49.53 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "MOSI" (shape input) (at 117.475 65.405 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid aef23822-0ab3-445a-aa4e-5ab971f07d31) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 109.973 65.405 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED3" (shape input) (at 238.125 80.645 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid af95631b-89e5-42ac-a156-ed0f19351169) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 245.6874 80.645 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "MISO" (shape input) (at 22.86 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid af9dc45c-2869-4fc9-83a9-4bfffc693e25) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 15.358 67.31 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED4" (shape input) (at 206.375 141.605 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b21c6658-e459-417f-b381-b884f13b741f) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 198.8126 141.605 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "D5" (shape input) (at 238.125 52.705 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b63945c2-5866-4f26-9c9b-38957fef69f7) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 243.5103 52.705 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "EXTRA3" (shape input) (at 117.475 90.805 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b84fb5b6-7f95-40b1-bdc6-65950bf443e0) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 107.675 90.805 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "D9" (shape input) (at 22.86 59.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b94fecba-5c1b-4971-8473-b9a0f2802d64) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.4747 59.69 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED2" (shape input) (at 238.125 78.105 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b9af120b-88c3-41f2-978a-9c971e09d908) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 245.6874 78.105 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "RST_SBWTDIO" (shape input) (at 176.53 121.285 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b9cf5bec-74d0-4bd8-986d-da11ebdacd63) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.1057 121.285 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "D9" (shape input) (at 238.125 65.405 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c3cc7561-2992-4a8a-88d2-e234328f0aea) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 243.5103 65.405 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "RST_SBWTDIO" (shape input) (at 28.575 108.585 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c5d54042-043b-4c86-bf10-1053e244b539) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 43.9993 108.585 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "LED3" (shape input) (at 206.375 128.905 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c7af6d12-dcfc-4085-aabf-8a6740c127e2) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 198.8126 128.905 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "EXTRA2" (shape input) (at 65.405 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid cab5051e-0f8e-4206-8018-45fa7639653d) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.205 101.6 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "TEST_SBWTCK" (shape input) (at 238.125 88.265 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d2bc009c-dec1-4b77-8da7-ae5a800bc5a4) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 253.7306 88.265 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "A0" (shape input) (at 48.26 52.07 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d310e092-d927-4b96-a6e4-fbb940e91cf9) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 53.4639 52.07 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "TXD" (shape input) (at 117.475 85.725 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d3fe7892-e97d-4701-86d5-22e2a436b60f) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 111.1221 85.725 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED1" (shape input) (at 238.125 75.565 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d641d594-2e7a-448a-9112-c236d4285153) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 245.6874 75.565 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "LED5" (shape input) (at 117.475 98.425 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid db027b9f-6021-498a-bbaf-ef4c425f953f) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 109.9126 98.425 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "LED5" (shape input) (at 206.375 139.065 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid dc73d1a9-ba3a-4e74-9df9-d66892c90c55) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 198.8126 139.065 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "RXD" (shape input) (at 117.475 88.265 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e1e0d4d5-2015-413e-85fd-2a0406899919) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 110.8197 88.265 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "SS" (shape input) (at 117.475 93.345 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ef074fcb-94c4-43d9-a783-06ca3eca72eb) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 112.1502 93.345 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "RX" (shape input) (at 22.86 36.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f5106e26-7e02-41b4-a651-4a2ee8c12548) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 17.4747 36.83 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "TX" (shape input) (at 238.125 45.085 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f90b1687-252b-4c63-aa12-3ce41c7364b7) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 243.2079 45.085 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "EXTRA1" (shape input) (at 65.405 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f92f5162-a7c8-411a-9058-7afd713b5054) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.205 99.06 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "EXTRA4" (shape input) (at 238.125 62.865 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid fa8903fb-4ff1-4dba-9101-9fa109a0bac5) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 247.925 62.865 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "A4" (shape input) (at 48.26 62.23 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid feb8ac02-40ac-4622-830d-946e7fd52dc1) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 53.4639 62.23 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + (global_label "EXTRA3" (shape input) (at 65.405 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid feed3db9-7806-4c41-a62c-b11d4478d20e) + (property "Intersheetrefs" "${INTERSHEET_REFS}" (at 75.205 104.14 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + + (symbol (lib_id "Device:C") (at 159.385 185.42 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 05d65cac-3c36-4c96-8468-97e481c39790) + (property "Reference" "C12" (at 163.195 184.785 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10U" (at 163.195 187.325 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (at 160.3502 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 159.385 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL21A106KOQNNNE" (at 159.385 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 153f29ce-40dd-4620-9655-45d1460db5f2)) + (pin "2" (uuid cb9975af-d79b-4116-a6fd-2a204da0f534)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Switch:SW_Push") (at 181.61 135.89 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 0605d202-dfb3-4ecf-b39e-e6a5ba8ea228) + (property "Reference" "SW2" (at 181.61 129.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_Push" (at 181.61 132.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Button_Switch_SMD:SW_SPST_TL3305A" (at 181.61 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 181.61 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "TL3305AF160QG" (at 181.61 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d973bb08-309f-4010-88f0-217e9c44983f)) + (pin "2" (uuid 465d0485-f297-42c3-bb7c-1f8750a80169)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "SW2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:TestPoint") (at 112.395 172.085 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0ba34ef1-731e-4d13-b34c-7a0b66ed302f) + (property "Reference" "TP5" (at 117.475 172.085 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TestPoint" (at 117.475 173.99 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (at 112.395 177.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 112.395 177.165 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 77179d6c-042f-4b1e-b9ee-b397a93677b3)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "TP5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 73.025 164.465 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 18c00003-dad4-417d-985c-f75c4b8b3ff4) + (property "Reference" "R5" (at 73.025 168.91 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "27" (at 73.025 166.37 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 72.771 165.481 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 73.025 164.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-0727RL" (at 73.025 164.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5ac0b413-9ceb-486d-ac3c-9746b5ae36b1)) + (pin "2" (uuid 10f48f33-1a34-4338-b168-6b5b95944dcd)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:TestPoint") (at 112.395 159.385 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1a5bb649-f370-4786-89c0-859baad35b6c) + (property "Reference" "TP1" (at 117.475 159.385 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TestPoint" (at 117.475 161.29 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (at 112.395 164.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 112.395 164.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ad2825e4-a92d-4eff-b7ba-b2174e2c764a)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "TP1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VCC") (at 79.375 27.305 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 1c156ccd-abe8-4056-a2b8-576641830648) + (property "Reference" "#PWR01" (at 79.375 31.115 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VCC" (at 79.375 23.495 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 79.375 27.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 79.375 27.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dbb2084f-33db-4790-a4fd-bd1f797cf514)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR01") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 257.175 129.54 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1e00d8aa-170c-42e1-a2b4-804957a52d79) + (property "Reference" "R10" (at 257.175 125.095 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "20" (at 257.175 127.635 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 257.429 128.524 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 257.175 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-0720RL" (at 257.175 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d7475440-4e5c-4037-b361-2c3636ec4b8f)) + (pin "2" (uuid 52e42787-7cda-405e-9a40-ef2572a496da)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Interface_USB:FT230XS") (at 94.615 164.465 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 23251e03-b4e6-4113-b0d8-5881bdff4fe6) + (property "Reference" "U3" (at 99.1109 146.685 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "FT230XS" (at 99.1109 149.225 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_SO:SSOP-16_3.9x4.9mm_P0.635mm" (at 120.015 179.705 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT230X.pdf" (at 94.615 164.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "FT230XS-R" (at 94.615 164.465 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a5c4a8a0-ac75-4f94-9332-77ad5ca3c464)) + (pin "10" (uuid a83ca025-96e5-4107-9fbb-118568745c47)) + (pin "11" (uuid ddd0718a-07d2-4710-989f-288b765d66f3)) + (pin "12" (uuid ddd86546-246b-4545-a2a4-9cbcfe0a472a)) + (pin "13" (uuid 4186321b-3459-45d4-a5b0-c22cf4811397)) + (pin "14" (uuid 0e3c40ce-2754-4cf8-8f00-ae7d5b2f3e70)) + (pin "15" (uuid f53542d8-b9b8-4b59-985b-376a3d76ccf1)) + (pin "16" (uuid 7812b799-8a68-4925-bbc9-f3d7f55b02ca)) + (pin "2" (uuid e3a42d62-1704-411a-920e-4ca7046a5868)) + (pin "3" (uuid e63ede98-113f-4e4f-934d-ceb39afdc5a4)) + (pin "4" (uuid 9fa523e8-02df-4bb4-a0f5-d3e040984242)) + (pin "5" (uuid 83d87fb7-70d1-400a-b67c-392192df99a6)) + (pin "6" (uuid 80a3cfbd-255f-4616-9620-82a1b517abd8)) + (pin "7" (uuid 6388c793-311b-48ad-81e3-bbafb4f248c9)) + (pin "8" (uuid f0fafca0-1ae4-4998-9fbe-59e8139f2da8)) + (pin "9" (uuid 8c765f70-a221-4f1b-9e96-4826830965c8)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "U3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 28.575 113.665 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 25e2bab2-6ded-4d9e-8096-3548fe817062) + (property "Reference" "#PWR010" (at 28.575 120.015 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 28.575 118.745 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 28.575 113.665 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 28.575 113.665 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e33bece8-3b14-4065-a3b0-469249abe57d)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR010") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:TestPoint") (at 112.395 167.005 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 299f7b4f-36d8-4be9-bcb1-c634c451c625) + (property "Reference" "TP3" (at 117.475 167.005 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TestPoint" (at 117.475 168.91 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (at 112.395 172.085 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 112.395 172.085 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 74b94ab1-36a5-4b27-92cd-d219a27890fd)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "TP3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 259.715 79.375 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 2a366a6e-bb76-47e1-b8a4-a3b6040349e6) + (property "Reference" "R1" (at 261.62 78.74 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47K" (at 261.62 81.28 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 260.731 79.629 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 259.715 79.375 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-0747KL" (at 259.715 79.375 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 58cb5fc0-027b-48a4-aec9-546501bf9a40)) + (pin "2" (uuid eb7ec5bf-c111-4201-8346-9b1aa29607a4)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 44.45 144.145 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 2a95dd2b-3838-4cd7-928f-ac0d5ad32fb1) + (property "Reference" "C11" (at 48.26 143.51 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "4.7U" (at 48.26 146.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (at 45.4152 147.955 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 44.45 144.145 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL21A475KAQNNNE" (at 44.45 144.145 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7c1487c1-9790-4981-89fb-d4d2af40896c)) + (pin "2" (uuid 217eca21-cab1-4fcf-94e1-fc4739e6f6c6)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 259.715 95.885 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 2b3226e5-e3c6-439c-8fe6-9d6e093bab81) + (property "Reference" "#PWR07" (at 259.715 102.235 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 259.715 100.965 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 259.715 95.885 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 259.715 95.885 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9ba0303f-c2b5-4006-9a41-604d61a2ea8b)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR07") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:TestPoint") (at 112.395 169.545 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 32d5c67e-d0a8-43e1-aeb0-852271dee781) + (property "Reference" "TP4" (at 117.475 169.545 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TestPoint" (at 117.475 171.45 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (at 112.395 174.625 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 112.395 174.625 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 735b99d6-5163-4482-b1f2-dfa5cf445a36)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "TP4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:USB_B_Micro") (at 21.59 161.925 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 3bbc5031-850f-456c-a6c5-e8ef15fca97b) + (property "Reference" "J2" (at 21.59 150.495 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "USB_B_Micro" (at 21.59 153.035 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_USB:USB_Micro-B_Amphenol_10118194_Horizontal" (at 25.4 163.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 25.4 163.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "10118194-0001LF" (at 21.59 161.925 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3a97cbe7-f4b3-4eb7-b4e0-4d93c7afb0e4)) + (pin "2" (uuid ba7d5e6f-ff65-4642-9320-7996f5c9258d)) + (pin "3" (uuid 999db956-0ffa-4b2e-8592-9fa8da5c18d7)) + (pin "4" (uuid bd389571-c9e1-4c82-bc9e-6a7cfcc807b5)) + (pin "5" (uuid 0e4dd708-7a06-429e-a141-c020ae2f2d0d)) + (pin "6" (uuid 39fefb53-3680-4499-8f97-ff74a6bf81a5)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "J2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VBUS") (at 57.785 137.795 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 3c427b4c-64ca-4bce-a55c-7c29e5212c16) + (property "Reference" "#PWR012" (at 57.785 141.605 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VBUS" (at 57.785 133.985 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 57.785 137.795 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 57.785 137.795 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bb92c351-692e-4890-86de-a3b69793b1c6)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR012") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VCC") (at 28.575 106.045 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 42974d08-9eca-41aa-b381-dd55d92bddcc) + (property "Reference" "#PWR09" (at 28.575 109.855 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VCC" (at 28.575 102.87 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 28.575 106.045 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 28.575 106.045 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8089f9ba-10b3-4724-a1a3-febfdf33ed45)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR09") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VBUS") (at 33.02 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 430b1995-54a1-427d-b766-102fb0bf3841) + (property "Reference" "#PWR022" (at 33.02 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VBUS" (at 33.02 20.955 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 33.02 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 33.02 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 066fe256-8d5f-4f80-a76b-6387d0a3c0fe)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR022") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:LED_RGBA") (at 266.065 124.46 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 442424d7-3434-4ad9-9a08-390b96e29415) + (property "Reference" "D1" (at 266.065 112.395 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LED_RGBA" (at 266.065 114.935 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LED_SMD:LED_RGB_Wuerth-PLCC4_3.2x2.8mm_150141M173100" (at 266.065 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 266.065 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "BL-HJXGXBX32M-A" (at 266.065 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9ff7fc16-7b6d-4320-a40d-8e04ae11ac55)) + (pin "2" (uuid a6bab4b4-9e00-4f10-b57e-836a6e4157ac)) + (pin "3" (uuid 492c10cc-69b4-47a9-86f9-df6d670f0af8)) + (pin "4" (uuid 869fee76-d392-4352-bfd6-fe45d10c65a7)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "D1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VBUS") (at 137.16 181.61 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 46a0028e-dff9-40a8-9cdc-e4eb0cc54d49) + (property "Reference" "#PWR013" (at 137.16 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VBUS" (at 137.16 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 137.16 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 137.16 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ac1927bc-516c-4c1b-bc9f-5de041ad0531)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR013") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 73.025 161.925 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 48ac7740-9f92-4b36-a699-6084af30f63d) + (property "Reference" "R4" (at 73.025 156.845 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "27" (at 73.025 159.385 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 73.279 160.909 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 73.025 161.925 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-0727RL" (at 73.025 161.925 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8a29b1e2-7fbf-407f-bc44-907ae292636e)) + (pin "2" (uuid 740388c9-3086-4bd7-962d-4bafd732caf6)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:TestPoint") (at 117.475 67.945 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4ea5e918-7742-42b7-89c5-a16d723538ed) + (property "Reference" "TP9" (at 112.395 67.945 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TestPoint" (at 112.395 66.04 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (at 117.475 62.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 117.475 62.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 06674664-b949-42b8-8115-7674cc136313)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "TP9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:TestPoint") (at 238.125 57.785 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4f74652f-14a9-421c-99e9-a616b16c6a54) + (property "Reference" "TP8" (at 243.205 57.785 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TestPoint" (at 243.205 59.69 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (at 238.125 62.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 238.125 62.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 03026c3b-02ad-4971-8ead-4afb533ebdbc)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "TP8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 65.405 175.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 5158ea1b-c4db-4511-9718-2a036fcb43f5) + (property "Reference" "C9" (at 68.58 174.625 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100N" (at 68.58 177.165 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 66.3702 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 65.405 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL10B104KB8NNNC" (at 65.405 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5d59bcc3-5dd7-487f-bee2-561c217e33d5)) + (pin "2" (uuid 07678ea1-cb33-4070-a203-ac1bf1dfe8e3)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VCC") (at 271.145 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 5e349987-27d2-4f88-8ed1-f93a54347014) + (property "Reference" "#PWR028" (at 271.145 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VCC" (at 271.145 142.875 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 271.145 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 271.145 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a34d8e26-2214-467b-9ba6-3b9690d7d81e)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR028") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:TestPoint") (at 112.395 174.625 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 602159dc-83bf-4af1-9762-fb62556a3219) + (property "Reference" "TP6" (at 117.475 174.625 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TestPoint" (at 117.475 176.53 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (at 112.395 179.705 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 112.395 179.705 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bdcc176b-521c-4928-99f7-d9577806573e)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "TP6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 92.075 40.005 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 629375e1-a792-4f86-b613-d02e3a86e3b4) + (property "Reference" "#PWR04" (at 92.075 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 92.075 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 92.075 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 92.075 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8819facc-d7a6-4eb9-ac3c-e5e3366bb48b)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR04") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.775 40.005 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 62bc0b6a-b80d-4235-acc0-51e95b999826) + (property "Reference" "#PWR03" (at 104.775 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.775 45.085 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.775 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.775 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0c73e34f-a28d-4b1b-9f42-e5890327d229)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR03") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:TestPoint") (at 238.125 47.625 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 690f8e36-8a46-40d5-b269-6f4e36fb354d) + (property "Reference" "TP7" (at 243.205 47.625 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TestPoint" (at 243.205 49.53 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (at 238.125 52.705 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 238.125 52.705 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8ea20fd5-20ac-49f2-b794-7d99246746b8)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "TP7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 42.545 175.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 69333135-9745-404f-9ead-3017c4a60629) + (property "Reference" "C7" (at 46.355 174.625 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47P" (at 46.355 177.165 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 43.5102 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 42.545 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL10C470JB8NNNC" (at 42.545 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9ee74cfb-3aa0-49c2-88e4-7f6c3528bda4)) + (pin "2" (uuid 5d4a86d9-8fb7-4321-873d-7e3b3c738990)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "MCU_Module:Arduino_UNO_R2") (at 35.56 52.07 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 6c2b41ab-5f95-4c59-968b-ccbf6374019b) + (property "Reference" "A2" (at 42.5959 25.4 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Arduino_UNO_R2" (at 42.5959 27.94 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Module:Arduino_UNO_R2" (at 35.56 52.07 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "https://www.arduino.cc/en/Main/arduinoBoardUno" (at 35.56 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ccb473cc-c901-48d0-a434-f87373b7aa92)) + (pin "10" (uuid 8f9d229a-1009-4b0e-a141-9f3ecdadddcd)) + (pin "11" (uuid a93b1dc8-29c6-4ae5-8f0c-b18905cba414)) + (pin "12" (uuid 130b17c2-7bfd-46aa-8a8c-3f4df023baf0)) + (pin "13" (uuid 685c05dd-eb58-485e-97e0-c28eb6233c3f)) + (pin "14" (uuid c6c06faf-aa52-47ed-80ff-0dfff072c82b)) + (pin "15" (uuid c3162bba-107a-470b-956b-b3a871ac92e6)) + (pin "16" (uuid 31d39e92-6cdb-4e9e-9a99-18daaba6ae51)) + (pin "17" (uuid 7a467b79-2b9f-4726-bfe3-b522933e9657)) + (pin "18" (uuid 59e706ed-fdc4-477a-a78f-a754b57bfc0a)) + (pin "19" (uuid ff6f31f2-7367-4127-85b6-10d1d0e7c8a5)) + (pin "2" (uuid 138e69d2-fb1b-42ce-8f20-c6fa473e3b5e)) + (pin "20" (uuid 9db3b95e-d97c-4f01-ac63-03033c3023dc)) + (pin "21" (uuid b921b526-2e32-4674-b3c6-a9b1d4bb4b48)) + (pin "22" (uuid 4cbfd706-eba1-4b27-b63e-87478c5aa50d)) + (pin "23" (uuid c66894ef-e631-4be6-9814-a26171f3ae97)) + (pin "24" (uuid ed4ab24b-e848-4b6b-a39d-ed6922a85496)) + (pin "25" (uuid dc2682ae-37bb-471f-b1d9-5343bd27db56)) + (pin "26" (uuid dd3d3901-b534-4b57-8b1a-e5e631c2fb31)) + (pin "27" (uuid 9991a99e-56b9-4776-81d3-4318a119d638)) + (pin "28" (uuid 7db2d497-9290-488d-97b6-5d33f0b9ae72)) + (pin "29" (uuid aab7e7e8-d58f-47cc-b736-1f50929eee70)) + (pin "3" (uuid fe50d998-9d6d-44fe-9738-f68e1f1d934a)) + (pin "30" (uuid 92ae672f-14ca-40b5-96ea-fd29905afe1c)) + (pin "4" (uuid 8822ce29-335b-4bc4-990d-daf4614b410d)) + (pin "5" (uuid 1a12be15-f7ff-41b4-ae84-ba7a63dadb5e)) + (pin "6" (uuid 46d707d5-f4cc-432b-8f0c-be8cf1130793)) + (pin "7" (uuid bf9bc00e-d76f-474a-9778-4feaa582b0f6)) + (pin "8" (uuid 92e22a13-54f4-4198-b8b1-89f900514148)) + (pin "9" (uuid 1e81339b-276d-4c32-a093-9d59e203b299)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "A2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VCC") (at 241.935 123.825 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 6ffc453a-9411-4730-b25b-d59b53bb47e4) + (property "Reference" "#PWR020" (at 241.935 127.635 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VCC" (at 241.935 120.65 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 241.935 123.825 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 241.935 123.825 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e2768743-8338-4856-a665-d08684653016)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR020") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 238.125 100.965 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 7508006b-ea53-4dab-83be-b3260b3b3e21) + (property "Reference" "#PWR08" (at 238.125 107.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 238.125 106.045 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 238.125 100.965 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 238.125 100.965 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c7b1205b-5720-4ec0-9db0-f8c97174bd07)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR08") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 92.075 182.245 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 79264605-1ff0-4866-879f-bd083adbad04) + (property "Reference" "#PWR016" (at 92.075 188.595 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 92.075 187.325 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 92.075 182.245 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 92.075 182.245 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e4c4ce49-a2aa-419b-9bc6-dcaa391cb9bf)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR016") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 21.59 179.07 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 7e3dc09d-7f59-490a-9b4e-fc178bd2ed40) + (property "Reference" "#PWR015" (at 21.59 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 21.59 184.15 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 21.59 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 21.59 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4c2a260c-003c-4c02-ac66-4cc90c903fb2)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR015") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VCC") (at 259.715 75.565 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 808f5fe8-4f19-4a73-82dd-caf869bfc54b) + (property "Reference" "#PWR02" (at 259.715 79.375 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VCC" (at 259.715 72.39 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 259.715 75.565 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 259.715 75.565 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a360f86c-3b8e-4652-a088-3c1746848b0d)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR02") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "New_Library:MSP430FR2476TPTR") (at 179.705 64.135 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 83b8c128-031a-4c85-bc60-4d8ed84815e5) + (property "Reference" "U1" (at 179.705 18.415 0) + (effects (font (size 1.524 1.524))) + ) + (property "Value" "MSP430FR2476" (at 179.705 20.955 0) + (effects (font (size 1.524 1.524))) + ) + (property "Footprint" "PT0048A_N" (at 179.705 62.865 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "MSP430FR2476TPTR" (at 179.705 62.865 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Part Number" "MSP430FR2476TPTR" (at 179.705 62.865 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e185adef-e417-469e-9d41-d23603311f75)) + (pin "10" (uuid 8fec2f51-47df-47c4-a7d0-588622af3d28)) + (pin "11" (uuid 5ed30385-2f82-4777-a194-f98665e11f04)) + (pin "12" (uuid 32d0b45f-5e2f-4b2a-9866-0a298a2cf67f)) + (pin "13" (uuid a8e9eb38-52d8-4522-9d36-dff222694a73)) + (pin "14" (uuid 2c4944cb-1d7e-4bb0-99c6-91dc8353b6ba)) + (pin "15" (uuid 9bd996f3-8767-490f-9f05-8b4342f65bcf)) + (pin "16" (uuid 042c013e-e418-4f6b-9eb7-d99f8a67a1d4)) + (pin "17" (uuid 0922864d-70b2-4019-a6bb-4b0d3c5f3d9b)) + (pin "18" (uuid 884bdd39-5288-4dd8-b17d-7be716b8cc9a)) + (pin "19" (uuid 2e4044ea-2ae7-49ed-b7b3-b4c6eabc0c25)) + (pin "2" (uuid 723f7b91-1a7e-438f-8768-e376e90aeae2)) + (pin "20" (uuid 4ab528af-f9bf-4083-9953-663a4443d064)) + (pin "21" (uuid cff88a50-9c28-4102-b577-972aff4b0176)) + (pin "22" (uuid a3fdd14a-971e-434c-85e3-9a087658d575)) + (pin "23" (uuid fab9d64e-1cfa-4d94-a116-fbfaeb666640)) + (pin "24" (uuid d6afda58-9d6c-453c-b834-c2d2a6a99921)) + (pin "25" (uuid 10199203-3cf5-48f4-9d87-c7a2f4fc16e7)) + (pin "26" (uuid c68f2727-512b-49ff-b983-74fc56e054d5)) + (pin "27" (uuid 3980d0b6-98ef-4b0b-8a3c-fc7b660e2d68)) + (pin "28" (uuid cfc21443-c116-45db-a72f-cc0115d150b0)) + (pin "29" (uuid 57251042-e97b-4b45-815b-07980ea88bee)) + (pin "3" (uuid 7b60a062-a87f-4cbd-985d-2c87f1894cd1)) + (pin "30" (uuid 79d64074-8b4c-4178-ba06-ecb32ada5098)) + (pin "31" (uuid efdb358a-9b72-4dd1-833e-9aa844d6752b)) + (pin "32" (uuid a7b21f6c-47ff-495e-a27d-2f37bd482b40)) + (pin "33" (uuid 7e5e86bd-c364-420c-b757-7a3f286764ed)) + (pin "34" (uuid e72814c2-c506-41f3-9ab9-959dd4f6a122)) + (pin "35" (uuid ddce4997-280c-428b-a7a4-89d6b65c828e)) + (pin "36" (uuid 6732c1db-5b50-4033-aac7-e1f853eb94f1)) + (pin "37" (uuid 2f6e82f0-16ed-4a70-9367-b9bbac6c6bec)) + (pin "38" (uuid 994db305-3bf6-412a-a156-cfc78f080c31)) + (pin "39" (uuid b97190f2-1ad7-49c0-82d7-2ca6bc227ba7)) + (pin "4" (uuid ff4bcd5a-d06f-4c00-b982-b7ccb71e8dc4)) + (pin "40" (uuid 8afa83e1-3254-49ef-b471-4d71fe7b38fa)) + (pin "41" (uuid 8e74883e-7dad-4899-8b43-28cba9de7df1)) + (pin "42" (uuid a21e06da-b324-4fca-95f2-13c5b579cb87)) + (pin "43" (uuid 4a2a54a6-06be-4346-9ecb-f26c447b15d9)) + (pin "44" (uuid 0ca43007-52e6-4251-839d-54d1c928d061)) + (pin "45" (uuid b201336a-2215-4143-a1cf-218e3785f603)) + (pin "46" (uuid 8de6dae5-1e12-42f5-815a-9632d8244c2a)) + (pin "47" (uuid 33bf1e6a-4723-4602-91b6-fc9be6b46df6)) + (pin "48" (uuid c5a8b380-9797-4a1b-a01a-577ec75350a8)) + (pin "5" (uuid 667ccacc-cee5-43e6-9520-38d50159fa72)) + (pin "6" (uuid 41495467-078b-4b2d-8346-6785811f9235)) + (pin "7" (uuid ce9677a3-278d-48c9-bf2a-c002a4fc9512)) + (pin "8" (uuid 47eb4cf1-83f1-4831-83cd-2147318b3715)) + (pin "9" (uuid dcb196eb-2061-446c-bc71-fa0af8f60fa6)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "U1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 257.175 146.05 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 8776e0a8-390c-45c7-ad8f-9b64644b5dcb) + (property "Reference" "R11" (at 257.175 141.605 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "130" (at 257.175 144.145 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 257.429 145.034 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 257.175 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-07130RL" (at 257.175 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2c3dc03f-44a2-48ac-a2fb-c5490e11fdfd)) + (pin "2" (uuid f03b8bdb-3711-4e41-917d-27d760a39064)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 35.56 80.01 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 87d43e4b-3587-42ca-9395-a86ec4056b96) + (property "Reference" "#PWR023" (at 35.56 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 35.56 84.455 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 35.56 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 35.56 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8bb99686-9cb9-40dd-8bab-59774ea2a158)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR023") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:LED_RGBA") (at 266.065 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 8a634956-cba9-42c5-a76f-cc2d237c7962) + (property "Reference" "D2" (at 266.065 133.985 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LED_RGBA" (at 266.065 136.525 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "LED_SMD:LED_RGB_Wuerth-PLCC4_3.2x2.8mm_150141M173100" (at 266.065 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 266.065 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "BL-HJXGXBX32M-A" (at 266.065 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 61d0abe3-ac11-411a-ba6d-02a23cf1f564)) + (pin "2" (uuid fe648189-dcd7-4c5b-a403-821c5132a027)) + (pin "3" (uuid 78d9a382-7f7d-48f6-ae65-7a482589a165)) + (pin "4" (uuid 320c03da-24cb-4379-8311-a44b08d43fea)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "D2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 32.385 175.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 92becb32-c51c-45d3-a2fa-e57fcd34615f) + (property "Reference" "C6" (at 35.56 174.625 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10N" (at 35.56 177.165 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 33.3502 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 32.385 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL10B103KB8NNNC" (at 32.385 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1a7fa4e0-fa5c-4b57-8f70-5fa1ef2958f9)) + (pin "2" (uuid b8cf00a7-be59-4f85-9e3c-6da592aa52d0)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 259.715 92.075 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 9c05ecd1-b462-4c13-bff2-83f23f47d295) + (property "Reference" "C5" (at 262.89 91.44 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1000P" (at 262.89 93.98 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 260.6802 95.885 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 259.715 92.075 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL10B102KB8NNNC" (at 259.715 92.075 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d0bfad87-8265-41a7-b737-9bc1368e904f)) + (pin "2" (uuid bc9f8f9d-95c4-4f24-ba2d-0cba33c898ab)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 114.935 147.955 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 9cc25121-9595-4ead-9ac2-93d9c43d2121) + (property "Reference" "R6" (at 116.84 147.32 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "10K" (at 116.84 149.86 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 113.919 147.701 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 114.935 147.955 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-0710KL" (at 114.935 147.955 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bb9b274b-6d35-4cd9-a24e-0cc2c55fd622)) + (pin "2" (uuid 3d418885-503e-4322-897d-825538b258e0)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 86.995 27.305 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid a472c088-7af5-4c39-ae08-37486201b626) + (property "Reference" "R14" (at 86.995 22.225 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "0" (at 86.995 24.765 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 87.249 26.289 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 86.995 27.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-070RL" (at 86.995 27.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7f975fc9-5b0b-4479-9199-f637eea4724a)) + (pin "2" (uuid 5b61f908-cfbd-4581-a871-d044cde9bdee)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R14") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 32.385 147.955 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a520b5b7-be8a-4397-874a-dbd06e4c2ba8) + (property "Reference" "#PWR018" (at 32.385 154.305 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 32.385 151.765 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 32.385 147.955 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 32.385 147.955 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 26e6b7f7-0733-4ed5-81c1-b98702dfd70c)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR018") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 186.69 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid a729aae2-4d7d-4a98-9150-cd5621c24276) + (property "Reference" "#PWR011" (at 186.69 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 186.69 158.75 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 186.69 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 186.69 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 628388e0-c4ad-40e4-91fd-d24652efe98a)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR011") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 123.825 147.955 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid a7b259a7-2452-4f47-b46e-0401a09234a9) + (property "Reference" "R7" (at 125.73 147.32 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "10K" (at 125.73 149.86 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 122.809 147.701 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 123.825 147.955 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-0710KL" (at 123.825 147.955 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c8a79669-aa9a-450a-b5c5-45591e953379)) + (pin "2" (uuid f32fa2ca-57b5-4cb5-84a2-15cfd5d30093)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:Conn_01x04_Pin") (at 23.495 108.585 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid a85f640a-96b1-4a78-8aaf-e7388c935c8f) + (property "Reference" "J1" (at 24.13 101.6 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x04_Pin" (at 24.13 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical" (at 23.495 108.585 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 23.495 108.585 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "PH1-04-UA" (at 23.495 108.585 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6e4a4ecc-f5e7-40dc-bdbb-05598368f55d)) + (pin "2" (uuid 47d56bf4-7760-42c9-bb02-749e2a9310e7)) + (pin "3" (uuid 59517363-58ba-4e08-88a8-d4efd5f69fe5)) + (pin "4" (uuid 276822a6-aa8e-4842-8120-86d688e6a3e6)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "J1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x06") (at 60.325 104.14 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid a9444833-de83-41b3-964e-3734a1b76177) + (property "Reference" "J3" (at 60.325 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x06" (at 60.325 96.52 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_1x06_P2.54mm_Horizontal" (at 60.325 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 60.325 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "PH1RB-06-UA" (at 60.325 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 77c79579-7df3-4032-a501-d027ef7f6caa)) + (pin "2" (uuid f4689fde-fe10-45c1-affe-bf3d7616594f)) + (pin "3" (uuid 7afac2d5-0aa1-46c7-a97c-463328c64d00)) + (pin "4" (uuid bff50c2a-575e-4151-ade9-454fa5499293)) + (pin "5" (uuid 409061af-39fd-4b0c-a131-cfd310b79877)) + (pin "6" (uuid 5c3d5497-90b9-4c6b-8677-739f84d11ff9)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "J3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VCC") (at 38.1 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid aaa29536-227c-4882-8efa-d023d7da8c0b) + (property "Reference" "#PWR024" (at 38.1 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VCC" (at 38.1 21.59 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 38.1 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 97054c24-b123-4a4d-807e-4e90a0b8eed5)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR024") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 104.775 36.195 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid ab2747a5-179b-4a83-9f1d-33e44f9a5be5) + (property "Reference" "C1" (at 100.965 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10U" (at 100.965 38.1 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (at 103.8098 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 104.775 36.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL21A106KOQNNNE" (at 104.775 36.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bc84df44-1f87-468b-9050-763cb6327011)) + (pin "2" (uuid 61edd431-4627-4d6b-af74-93a080b36d63)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:TestPoint") (at 112.395 161.925 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ac8ed624-ae66-442c-8f04-346d7d307456) + (property "Reference" "TP2" (at 117.475 161.925 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TestPoint" (at 117.475 163.83 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (at 112.395 167.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 112.395 167.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5002503b-477b-4014-a432-ce0afd799a77)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "TP2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:TestPoint") (at 117.475 60.325 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b0aab9dc-86eb-4fce-818b-a207a1ee3b40) + (property "Reference" "TP10" (at 112.395 60.325 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "TestPoint" (at 112.395 58.42 90) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (at 117.475 55.245 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 117.475 55.245 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5ae8590e-e42b-4905-961f-e39d2bbbbec9)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "TP10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 257.175 124.46 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid bdd410b6-ad2b-4eff-bd9c-c00f85f85958) + (property "Reference" "R9" (at 257.175 120.015 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "20" (at 257.175 122.555 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 257.429 123.444 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 257.175 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-0720RL" (at 257.175 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fbe27153-772d-4a3d-83cd-a1ecbe632206)) + (pin "2" (uuid 5de275d1-0fb8-496c-be69-07084d26bcb1)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 254.635 40.005 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid bff4000c-1724-4adf-9019-90f933c1c01d) + (property "Reference" "#PWR05" (at 254.635 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 254.635 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 254.635 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 254.635 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fb2e1647-d351-482a-bd7a-4616b87bd947)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR05") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Switch:SW_Push") (at 181.61 121.285 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid c49bda48-7c18-4575-9efc-32db06e831f8) + (property "Reference" "SW1" (at 181.61 114.3 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_Push" (at 181.61 116.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Button_Switch_SMD:SW_SPST_TL3305A" (at 181.61 116.205 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 181.61 116.205 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "TL3305AF160QG" (at 181.61 121.285 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 055b0f01-4da1-4fc5-bd5b-ffcc1de02c2a)) + (pin "2" (uuid c3c01bcb-dcac-4e8d-b4b5-ab29acba2648)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "SW1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Switch:SW_Push") (at 181.61 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid c8828388-d833-4532-8e20-6ec61700fdf6) + (property "Reference" "SW3" (at 181.61 143.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_Push" (at 181.61 146.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Button_Switch_SMD:SW_SPST_TL3305A" (at 181.61 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 181.61 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "TL3305AF160QG" (at 181.61 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c56b3c33-4375-43fb-8dc7-7f0abfeba458)) + (pin "2" (uuid 731ec5ad-8f55-4cf5-9a4f-972a0b995008)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "SW3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 257.175 119.38 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid ca8b0dd2-9cf1-4a4e-b957-864a5701057c) + (property "Reference" "R8" (at 257.175 114.935 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "130" (at 257.175 117.475 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 257.429 118.364 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 257.175 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-07130RL" (at 257.175 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2ecc111a-330c-4954-b3bb-349f8023cf43)) + (pin "2" (uuid 03edf3f6-6238-48b4-af35-8ff3e34287d1)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 32.385 144.145 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d0f90e49-e6b9-45e7-a258-3c5d69c83f2b) + (property "Reference" "C10" (at 36.195 143.51 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100N" (at 36.195 146.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 33.3502 147.955 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 32.385 144.145 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL10B104KB8NNNC" (at 32.385 144.145 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2335f90a-6cad-4ad9-b52c-2a00eeda7933)) + (pin "2" (uuid 7ed4c0b2-014b-467d-83e1-aff037c8841f)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 148.59 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid d20f7fdb-5962-4b3c-8080-89d643da211b) + (property "Reference" "#PWR019" (at 148.59 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 148.59 194.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 148.59 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 148.59 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 61d1725e-588a-4e55-b3ba-7923fc0eb2b2)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR019") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 241.935 146.685 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid d62bd86c-4481-417f-8232-c9bf8aa4c4d0) + (property "Reference" "#PWR021" (at 241.935 153.035 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 241.935 151.765 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 241.935 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 241.935 146.685 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b7827e2a-f85f-416d-8dd8-fd96cbc11121)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR021") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 269.875 36.195 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid d6b88400-5629-4fc9-a00a-da3b165f9c71) + (property "Reference" "C4" (at 273.685 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "14P" (at 273.685 38.1 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 270.8402 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 269.875 36.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL10C150JB81PNC" (at 269.875 36.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1f4ca08a-de0c-446f-b24a-d001c99b278e)) + (pin "2" (uuid 155adef0-9ede-484a-8ac6-7976188cafef)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 137.16 185.42 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d7ca8654-6528-4cda-8bea-a79fde42be93) + (property "Reference" "C13" (at 133.35 184.785 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1U" (at 133.35 187.325 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (at 136.1948 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 137.16 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL21B105KAFNNNE" (at 137.16 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dc47ec9e-0ebb-4f0b-aeaa-b6edb2c6c932)) + (pin "2" (uuid 78793360-e1b4-439f-8a9d-161475d71863)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 257.175 140.97 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid d9d8ccd2-7355-484f-b6cf-10726d70779e) + (property "Reference" "R12" (at 257.175 136.525 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "20" (at 257.175 139.065 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 257.429 139.954 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 257.175 140.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-0720RL" (at 257.175 140.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3640c74c-c94d-4b00-80b6-d0539df89b04)) + (pin "2" (uuid 09a97390-7114-423b-8a4d-db44c71ec9b5)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VBUS") (at 40.64 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid da1ed123-7d7e-4394-a0f1-03190f4376b6) + (property "Reference" "#PWR025" (at 40.64 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VBUS" (at 40.64 20.955 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 40.64 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 40.64 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e1f92079-efb3-46c6-9470-a296dbde0f00)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR025") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 172.72 135.89 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid e9add334-e25e-4c9d-a298-f29a8b133e6e) + (property "Reference" "R2" (at 172.72 130.81 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "0" (at 172.72 133.35 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 172.974 134.874 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 172.72 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-070RL" (at 172.72 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4b2cf124-0968-46bd-8d6a-bc3fa11b8956)) + (pin "2" (uuid d8454775-bc93-42bd-aa3b-a43be379b9bf)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:L") (at 45.085 156.845 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ea607995-2658-44da-bc7f-2b53afafff35) + (property "Reference" "L1" (at 45.085 153.035 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "FERRITE" (at 45.085 154.94 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Inductor_SMD:L_0603_1608Metric" (at 45.085 156.845 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 45.085 156.845 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "PE-0603PFB121ST" (at 45.085 156.845 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4cdd72e6-863f-4a3e-bd45-e641d5dfff2e)) + (pin "2" (uuid 67a1aed5-ad1c-4bd7-8d0d-b05d843ba6f0)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "L1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "New_Library:SN74LVC07APWR") (at 224.155 136.525 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid eff5fe6e-1e36-4e8f-be2e-679d90b167e5) + (property "Reference" "U2" (at 224.155 117.475 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SN74LVC07A" (at 224.155 120.015 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "SOP65P640X120-14N" (at 224.155 136.525 0) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "Datasheet" "" (at 224.155 136.525 0) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "Part Number" "SN74LVC07APWR" (at 224.155 136.525 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 32e24915-9773-4349-94f3-6b00e02c363a)) + (pin "10" (uuid e175a4ff-c217-434c-ae6a-8ea1b5289768)) + (pin "11" (uuid 4433eea2-d494-4cf5-9f4c-da06fa7af391)) + (pin "12" (uuid 5215d3f6-a8bd-49ad-a385-305bf82b9ea5)) + (pin "13" (uuid 022b2d4e-ffe3-419c-ba2b-98f1034268c0)) + (pin "14" (uuid 5e26707d-8d3e-4ef7-aea6-eec96d703c89)) + (pin "2" (uuid 90043e4d-f813-4305-8f52-36da77609a3e)) + (pin "3" (uuid 3e7c51ea-4459-496b-b6b3-041af66fcfd0)) + (pin "4" (uuid 2490ad33-48ff-4043-9983-7467d4c6cef0)) + (pin "5" (uuid 10dfe8d3-6481-4278-a234-e2f186e6fe7f)) + (pin "6" (uuid cff58c8d-6f52-4e5f-a264-66d417584f3a)) + (pin "7" (uuid 9ec15a6d-5c86-4578-810f-1a57f213571f)) + (pin "8" (uuid cb825092-1ab8-4c1e-8d79-e48d538ca3d6)) + (pin "9" (uuid 3e52773d-a135-4a19-aec4-40ab6d2a82d2)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "U2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 254.635 36.195 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f1994bc3-60ca-429b-8b09-ea6d6f77c72f) + (property "Reference" "C3" (at 251.46 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "14P" (at 251.46 38.1 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 253.6698 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 254.635 36.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL10C150JB81PNC" (at 254.635 36.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7faff23f-5502-42da-82ca-09ee24331c50)) + (pin "2" (uuid 82b21012-04eb-4a89-a8a0-c73ec6bd1e65)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 269.875 40.005 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid f292d4ba-0515-4694-9366-bcb667ccd5b1) + (property "Reference" "#PWR06" (at 269.875 46.355 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 269.875 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 269.875 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 269.875 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 20d2b74e-0f23-4baa-b6c3-2e9bb376e55a)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR06") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:Crystal") (at 262.255 32.385 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid f2975df2-e119-425e-866d-0eb0ffbe3346) + (property "Reference" "Y1" (at 262.255 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "32.768 kHz" (at 262.255 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Crystal:Crystal_SMD_3215-2Pin_3.2x1.5mm" (at 262.255 32.385 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 262.255 32.385 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "SC32S-7PF20PPM" (at 262.255 32.385 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c3d9244c-b326-4b10-b108-23f2185ea345)) + (pin "2" (uuid c2a7a35a-eb46-40a9-b73b-0e84aa64d436)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "Y1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 92.075 36.195 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid f2a57c3c-a62b-4808-ad06-d5231b37c50b) + (property "Reference" "C2" (at 88.9 35.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100N" (at 88.9 38.1 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 91.1098 40.005 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 92.075 36.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL10B104KB8NNNC" (at 92.075 36.195 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 144ee5bf-8658-4651-92fb-3d33ea043ff1)) + (pin "2" (uuid 9b6a725d-5b98-45c9-9433-7188345a2208)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 97.155 182.245 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid f2f34aca-8f32-4c41-adcd-7e4111a666a0) + (property "Reference" "#PWR017" (at 97.155 188.595 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 97.155 187.325 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 97.155 182.245 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 97.155 182.245 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 73600836-9fcc-406e-a037-36829256c937)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR017") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 172.72 149.86 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f325b0f0-79a4-4bab-9c61-279430bc2a9b) + (property "Reference" "R3" (at 172.72 144.78 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "0" (at 172.72 147.32 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 172.974 150.876 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 172.72 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-070RL" (at 172.72 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 41fd6f68-58bc-443c-84ac-676265a55a17)) + (pin "2" (uuid 20a1b58e-0b44-4243-93d4-a037754e2f5b)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VCC") (at 159.385 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid f4b5856c-d92d-48de-af9c-e479e9e0857b) + (property "Reference" "#PWR014" (at 159.385 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VCC" (at 159.385 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 159.385 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 159.385 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 380e154d-2d88-46ba-931b-247a0b29f402)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR014") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Regulator_Linear:AP130-33Y") (at 148.59 181.61 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid f62d102b-ec79-4668-8d10-09b72b9d5974) + (property "Reference" "U4" (at 148.59 175.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP130-33Y" (at 148.59 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-89-3" (at 148.59 175.895 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP130.pdf" (at 148.59 182.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "AP130-33YG-13" (at 148.59 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c01a2af9-7eaf-4ba0-b7f2-53925af926c9)) + (pin "2" (uuid edbbfa0b-37ea-4507-96e1-16ebbfe67b29)) + (pin "3" (uuid 6176d837-6165-46d0-944b-6d7f1ec7aa3f)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "U4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_US") (at 257.175 151.13 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f71b2666-bcd4-49bb-886b-639dd50f9815) + (property "Reference" "R13" (at 257.175 146.685 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "20" (at 257.175 149.225 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Resistor_SMD:R_0603_1608Metric" (at 257.429 150.114 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 257.175 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "RC0603JR-0720RL" (at 257.175 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 03e7459f-86d1-4493-818d-ea1c9e5a6264)) + (pin "2" (uuid 26ba9c2a-dea6-45ac-8bc1-8681c89df6a0)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "R13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C") (at 53.975 175.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f90eaabc-3c87-475b-9650-982b07ec6dca) + (property "Reference" "C8" (at 57.15 174.625 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47P" (at 57.15 177.165 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (at 54.9402 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 53.975 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "CL10C470JB8NNNC" (at 53.975 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 42a55760-79f7-490c-8217-ed69cba1d3ad)) + (pin "2" (uuid 883928eb-5d5e-4f25-9c0a-8e09c05c986d)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "C8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VCC") (at 271.145 124.46 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid faf476f5-49e1-49e6-bb34-4811b023196f) + (property "Reference" "#PWR027" (at 271.145 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VCC" (at 271.145 121.285 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 271.145 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 271.145 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a740bdf2-e3f3-4429-b0cb-4f71eba5a5d6)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR027") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:VCC") (at 48.26 41.91 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fe2c964d-e1c6-40ea-a6ec-96fdc69f2da4) + (property "Reference" "#PWR026" (at 44.45 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "VCC" (at 53.34 41.91 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 48.26 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 48.26 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b80b418b-9af9-4474-8ea7-8983e123c9da)) + (instances + (project "alee-devboard" + (path "/00cd4058-b687-49ab-a66e-085ff1349632" + (reference "#PWR026") (unit 1) + ) + ) + ) + ) + + (sheet_instances + (path "/" (page "1")) + ) +) diff --git a/alee-devboard.kicad_sym b/alee-devboard.kicad_sym new file mode 100755 index 0000000..e7fbcc1 --- /dev/null +++ b/alee-devboard.kicad_sym @@ -0,0 +1,330 @@ +(kicad_symbol_lib (version 20220914) (generator kicad_symbol_editor) + (symbol "MSP430FR2476TPTR" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 2.54 0) + (effects (font (size 1.524 1.524))) + ) + (property "Value" "MSP430FR2476TPTR" (at 0 0 0) + (effects (font (size 1.524 1.524))) + ) + (property "Footprint" "PT0048A_N" (at 0 0 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "MSP430FR2476TPTR" (at 0 0 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "ki_locked" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "ki_keywords" "MSP430FR2476TPTR" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "PT0048A_N PT0048A_M PT0048A_L" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MSP430FR2476TPTR_0_1" + (polyline + (pts + (xy -71.12 -40.64) + (xy 71.12 -40.64) + ) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -71.12 40.64) + (xy -71.12 -40.64) + ) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 71.12 -40.64) + (xy 71.12 40.64) + ) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 71.12 40.64) + (xy -71.12 40.64) + ) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + (pin power_in line (at -76.2 35.56 0) (length 5.08) + (name "DVCC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -27.94 0) (length 5.08) + (name "P5.3_UCB1CLK_TA3.0_A10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -30.48 0) (length 5.08) + (name "P5.4_UCB1STE_TA3CLK_A11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 25.4 0) (length 5.08) + (name "P1.0_UCB0STE_TA0CLK_A0_VEREF+" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 22.86 0) (length 5.08) + (name "P1.1_UCB0CLK_TA0.1_COMP0.0_A1" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 20.32 0) (length 5.08) + (name "P1.2_UCB0SIMO_UCB0SDA_TA0.2_A2_VEREF-" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 17.78 0) (length 5.08) + (name "P1.3_UCB0SOMI_UCB0SCL_MCLK_A3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 27.94 180) (length 5.08) + (name "P2.2_SYNC_ACLK_COMP0.1" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 -2.54 180) (length 5.08) + (name "P4.5_UCB0SOMI_UCB0SCL_TA3.2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 -5.08 180) (length 5.08) + (name "P4.6_UCB0SIMO_UCB0SDA_TA3.1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -33.02 0) (length 5.08) + (name "P5.5_UCB0CLK_TA2CLK" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 -22.86 180) (length 5.08) + (name "RST_NMI_SBWTDIO_N" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -35.56 0) (length 5.08) + (name "P5.6_UCB0STE_TA2.0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -38.1 0) (length 5.08) + (name "P5.7_TA2.1_COMP0.2" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 -12.7 180) (length 5.08) + (name "P6.0_TA2.2_COMP0.3" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 2.54 0) (length 5.08) + (name "P3.0_TA2.2_CAP0.0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -5.08 0) (length 5.08) + (name "P3.3_TA2.1_CAP0.1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 25.4 180) (length 5.08) + (name "P2.3_TA2.0_CAP0.2" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -7.62 0) (length 5.08) + (name "P3.4_TA2CLK_COMP0OUT_CAP0.3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 0 0) (length 5.08) + (name "P3.1_UCA1STE_CAP1.0" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 22.86 180) (length 5.08) + (name "P2.4_UCA1CLK_CAP1.1" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 20.32 180) (length 5.08) + (name "P2.5_UCA1RXD_UCA1SOMI_CAP1.2" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 76.2 -25.4 180) (length 5.08) + (name "TEST_SBWTCK" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 17.78 180) (length 5.08) + (name "P2.6_UCA1TXD_UCA1SIMO_CAP1.3" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -76.2 30.48 0) (length 5.08) + (name "VREG" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -15.24 0) (length 5.08) + (name "P3.7_TA3.2_CAP2.0" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 10.16 180) (length 5.08) + (name "P4.0_TA3.1_CAP2.1" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 7.62 180) (length 5.08) + (name "P4.1_TA3.0_CAP2.2" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 5.08 180) (length 5.08) + (name "P4.2_TA3CLK_CAP2.3" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 15.24 180) (length 5.08) + (name "P2.7_UCB1STE_CAP3.0" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -10.16 0) (length 5.08) + (name "P3.5_UCB1CLK_TB0TRG_CAP3.1" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -2.54 0) (length 5.08) + (name "P3.2_UCB1SIMO_UCB1SDA_CAP3.2" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -12.7 0) (length 5.08) + (name "P3.6_UCB1SOMI_UCB1SCL_CAP3.3" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 15.24 0) (length 5.08) + (name "P1.4_UCA0TXD_UCA0SIMO_TA1.2_TCK_A4_VREF+" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 -15.24 180) (length 5.08) + (name "P6.1_TB0CLK" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 -17.78 180) (length 5.08) + (name "P6.2_TB0.0" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 -7.62 180) (length 5.08) + (name "P4.7_UCA0STE_TB0.1" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -20.32 0) (length 5.08) + (name "P5.0_UCA0CLK_TB0.2" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -22.86 0) (length 5.08) + (name "P5.1_UCA0RXD_UCA0SOMI_TB0.3" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 -25.4 0) (length 5.08) + (name "P5.2_UCA0TXD_UCA0SIMO_TB0.4" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 33.02 180) (length 5.08) + (name "P2.0_XOUT" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 30.48 180) (length 5.08) + (name "P2.1_XIN" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 76.2 -38.1 180) (length 5.08) + (name "DVSS" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 12.7 0) (length 5.08) + (name "P1.5_UCA0RXD_UCA0SOMI_TA1.1_TMS_A5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 10.16 0) (length 5.08) + (name "P1.6_UCA0CLK_TA1CLK_TDI_TCLK_A6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -76.2 7.62 0) (length 5.08) + (name "P1.7_UCA0STE_SMCLK_TDO_A7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 2.54 180) (length 5.08) + (name "P4.3_UCB1SOMI_UCB1SCL_TB0.5_A8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 76.2 0 180) (length 5.08) + (name "P4.4_UCB1SIMO_UCB1SDA_TB0.6_A9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "SN74LVC07APWR" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at -12.7 16.2306 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Value" "SN74LVC07APWR" (at -12.7 -19.2278 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Footprint" "SOP65P640X120-14N" (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify left bottom) hide) + ) + (property "ki_locked" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "SN74LVC07APWR_0_0" + (rectangle (start -12.7 -15.24) (end 12.7 15.24) + (stroke (width 0.4064) (type solid)) + (fill (type background)) + ) + (pin input line (at -17.78 7.62 0) (length 5.08) + (name "1A" (effects (font (size 1.016 1.016)))) + (number "1" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 -2.54 180) (length 5.08) + (name "5Y" (effects (font (size 1.016 1.016)))) + (number "10" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -17.78 -2.54 0) (length 5.08) + (name "5A" (effects (font (size 1.016 1.016)))) + (number "11" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 -5.08 180) (length 5.08) + (name "6Y" (effects (font (size 1.016 1.016)))) + (number "12" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -17.78 -5.08 0) (length 5.08) + (name "6A" (effects (font (size 1.016 1.016)))) + (number "13" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VCC" (effects (font (size 1.016 1.016)))) + (number "14" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 7.62 180) (length 5.08) + (name "1Y" (effects (font (size 1.016 1.016)))) + (number "2" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -17.78 5.08 0) (length 5.08) + (name "2A" (effects (font (size 1.016 1.016)))) + (number "3" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 5.08 180) (length 5.08) + (name "2Y" (effects (font (size 1.016 1.016)))) + (number "4" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -17.78 2.54 0) (length 5.08) + (name "3A" (effects (font (size 1.016 1.016)))) + (number "5" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 2.54 180) (length 5.08) + (name "3Y" (effects (font (size 1.016 1.016)))) + (number "6" (effects (font (size 1.016 1.016)))) + ) + (pin power_in line (at 17.78 -10.16 180) (length 5.08) + (name "GND" (effects (font (size 1.016 1.016)))) + (number "7" (effects (font (size 1.016 1.016)))) + ) + (pin output line (at 17.78 0 180) (length 5.08) + (name "4Y" (effects (font (size 1.016 1.016)))) + (number "8" (effects (font (size 1.016 1.016)))) + ) + (pin input line (at -17.78 0 0) (length 5.08) + (name "4A" (effects (font (size 1.016 1.016)))) + (number "9" (effects (font (size 1.016 1.016)))) + ) + ) + ) +)