You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

45 lines
656 B
Plaintext

ENTRY(_start)
/* description of memory regions */
MEMORY {
FLASH (rx) : ORIGIN = 0x08000000, LENGTH = 1024K
RAM (rwx) : ORIGIN = 0x20000000, LENGTH = 96K
}
/* description of ELF sections */
SECTIONS {
.text : {
. = ALIGN(8);
*(.isr_vector)
*(.text)
*(.text*)
} > FLASH
/* read-only data sections */
.rodata : {
. = ALIGN(8);
*(.rodata)
*(.rodata*)
} > FLASH
/* initialized data */
_sidata = LOADADDR(.data);
.data : {
. = ALIGN(8);
_sdata = .;
*(.data)
. = ALIGN(8);
_edata = .;
} > RAM AT > FLASH
/* uninitialized data */
.bss : {
. = ALIGN(8);
_sbss = .;
*(.bss)
. = ALIGN(8);
_ebss = .;
} > RAM
}